文档库 最新最全的文档下载
当前位置:文档库 › 出租汽车税控计价器使用说明

出租汽车税控计价器使用说明

出租汽车税控计价器使用说明

出租汽车税控计价器使用说明

计价器使用操作:

营运后,翻下空车灯,计价器由空车状态进入重车状态。车行0.1km或30s后显示起步价。业务结束后先按计价器金额窗显示金额付费,再翻起空车灯。

检查各次业务数据:

按“检查”键,在当班累计和总累计之后,接下去显示内部存贮的160次业务。

显示每一车次业务,屏幕有三幅。

时钟校准方法:

时钟在55分到5分之间,校准到正点(0点除外),每个月可校准一次。但编程当月不可调。

在空车状态下,按“检查”键到“t”,显示时间,按“暂停”键,准点时再按“IC”键,即可校准时钟。

请各位驾驶员注意:

1、税控计价器所使用的出租车税票为有价票券,请严格遵照公司规章制度及使用说明使用税控计价器。

2、若在运营过程中发现税控计价器出现问题应及时向调度中心报告。

3、应注意出租车税票的剩余情况,及时领取出租车税票更换。

电子线路CAD课程设计报告出租车计价器

电子电路CAD课程设计 课题名称出租车计价设计 所在院系 班级 学号 姓名 指导老师 时间

目录 第一章引言 (4) 第二章设计方案 (5) 2.1出租汽车里程计价表设计的要求及技术指标 2.2设计方案论证 第三章电路原理图的绘制 (7) 第四章电路板图的绘制 (8) 第五章课程设计总结 (12) 第六章电子元件清单 (13) 第七章总电路原理图 (14) 第八章参考文献 (16)

第一章引言 随着生活水平的提高,人们已经不再满足于衣食住的享受,出行的舒适已经受到越来越多人的关注。于是,出租车行业低价高质的服务给人们带来了出行的享受。但是总存在着买卖纠纷,困扰着行业的发展。而在出租车行业中解决这矛盾的最好的方法就是使用计价器,用规范的价格来为乘客提供更加方便快捷的服务。同时,出租车计价器是乘客与司机双方的交易准则,是出租车行业发展的重要标志,它关系着交易双方的利益。现在,城市建设日益加快,象征着城市面貌的出租车行业也将加快发展,计价器的普及是毫无疑问的,所以未来出租车行业计价器的市场是很有潜力的。本文是为了探索计价器的设计而制作的。随着计算机和信息技术的发展,EDA(Electronic Design Automation,电子设计自动化)技术已经代替了传统手工设计和制作印刷电路板的方法,成为现代电子工程领域的一门新技术。EDA技术的发展和推广极大地推动了电子工业的发展,由此各类EDA工具软件也如雨后春笋般地蓬勃发展起来。原理图设计、PCB设计、电路仿真和PLD设计都是EDA设计技术中的重要组成部分,而Altium公司(原Protel Technology公司)推出的Protel DXP软件全面集成了EDA设计几大技术,而且它还包含了电路仿真印刷电路板的信号完整性分析、可编程逻辑器件FPGA数字电路设计和VHDL硬件描述语言的应用

出租车计价器系统完整版

智能电子产品设计与制作 课程设计(论文) 题目: 《出租车计价器系统设计》 学院:电气与电子信息工程学院 专业名称: 学号: 学生姓名: 同组成员: 指导教师: 课设时间:2011年5月23日—2011年6月10日 目录

一.设计目的 (2) 二.设计要求 (2) 三.系统结构 (2) 四.功能模块设计 (3) 五.软件设计 (5) 六.电路组装与调试 (6) 七.电路仿真 (7) 八.总结 (8) 八.附录 (9)

出租车计价器课程设计 一、设计目的 随着出租车行业的发展,出租车已经是城市交通的重要组成部分,从加强行业管理以及减少司机与乘客的纠纷出发,具有良好性能的计价器对出租车司机和乘客来说都是很必要的。而采用模拟电路和数字电路设计的计价器整体电路的规模较大,用到的器件多,造成故障率高,难调试。而采用单片机进行的设计,相对来说功能强大,用较少的硬件和适当的软件相互配合可以很容易地实现设计要求,且灵活性强,可以通过软件编程来完成更多的附加功能。本设计采用AT89S52单片机为主控器,以红外对管测转速,对实际里程的模拟,实现对出租车的多功能的计价设计,并采用AT24C01实现在系统掉电的时候保存单价等信息,输出采用8段数码显示管。本电路设计的计价器不但能实现基本的计价,而且还能根据白天,黑夜和中途等待来调节单价。 二、设计要求 出租车计价器根据乘客乘坐汽车行驶距离和等候时间的多少进行计价,并在行程中同步显示车费值。从起步价开始,当汽车程行驶未满3公里时,均按起步价计算。过3公里后,实现每1公里单价收费,中间遇暂停时,计程数不再增加,开始计时收费,测距收费和测时收费的和便构成了一位乘客的车费。同时,白天和夜晚价格不同,可以进行切换。白天单价、夜晚单价、等待单价和起步价格都可通过独立键盘进行调节。(默认起步价为5元/3公里,里程单价白天为1.5元/公里,夜晚为1.8元/公里,等待计时单价为0.5元/5分钟) 三、系统结构 根据设计的要求选择实验的方案:采用单片机进行的设计,相对来说功能强大,用较少的硬件和适当的软件相互配合可以很容易地实现设计要求,且灵活性强,可以通过软件编程来完成更多的附加功能。设计采用AT89S51单片机为主控器,以红外对管和电机测转速(按键替代),实现对出租车的基本的计价设计,并采用AT24C02实现在系统掉电的时候保存单价等信息,输出采用8段数码显示管,相对液晶显示价格便宜,利用单片机丰富的I/O端口,及其控制的灵活性,实现基本的计价功能。 器系统结构图如下: 四、功能模块 1、单片机模块

出租汽车计价器K值的准确调整方法探讨

出租汽车计价器K值的准确调整方法探讨 在出租汽车计价器的检定工作中,根据检定规程JJG517-1998,当计价器的使用误差超过-4%~+1%时,就必须要对计价器的使用误差进行调整,使其达到合格范围。本文推出了一个实用的准确调整计价器K值的表达式,通过准确计算K值,并对计价器进行相应调整,来达到调整计价器使用误差的目的,使计价器的营运里程尽量靠近标准值。 由K值的定义,计价器的常数K是表示计价器为正确指示1公里行程而必须接受到的信号数,单位为每公里的转数(r/km)。而对于某一出租车的计价器,在相同条件下,每一转数对应出租车行走的距离是恒定的。设检定某一出租车计价器的使用误差时每公里转数为K,对应的检定实际距离为S,S标为理论计算后的实际距离,Δ为S标与S的差值(可正、可负),ΔK为使计价器尽量靠近标准值而需进行调整的转数(可正、可负)。 则: 即:△K=K/S·△S (1) 当△K>0时,应对计价器上调△K个转数;当△K<0时,应对计价器下调△K个转数(注:△K在计算后出现小数时,应四舍五入取整数)。 表达式(1)在实际检定中很容易操作运行。 例:对一天津华利面包出租车进行计量检定时,其K值为630(r/km)。检定了3公里时,实测距离为2880m,则应对其调整转数为: △k=(630/2880)x(3000-2880)=26(r) 说明应对其上调23个转数,即调整后的K值应为656(r/km)。 为了对(1)式进行验证,我们随机抽取了几种常见的不同车型、轮胎的出租车,选定测试距离为3公里,测试结果如右表,其中:K为该出租车计价器初始K值;S为对应K时的实测距离;△K为按(1)式计算后应调整的转数;S测为调整△K后实际测试距离。 由表中所列测试结果可以看出:不论车型、轮胎型号,这两大直接影响确定K值的主要因素如何变化,只要按照(1)式计算后,调整△K个转数,其测试结果总是和预定的标准距离基本相吻合,说明(1)式可行。

出租车计价器收费方法的算法设

《出租车计价器收费方法的算法设计》 教学设计 一、教学设计说明 [现状分析] 算法作为信息科技课程教学内容,旨在培养和提高学生的逻辑思维能力,以及用计算机去分析问题、解决问题的能力。然而算法的相关概念比较枯燥,理论过于抽象,对学生的能力要求较高,所以在教学过程中往往难以把握,也不容易引发学生的兴趣。因此需要教师在教学设计和课堂教学中,运用各种手段,使教学内容生动起来,活起来。 [关于教学目标] 在知识目标方面,通过对出租车计价器收费方法的算法设计,使学生理解分支结构解决问题的基本思想,能用分支结构算法来解决实际问题。 在能力目标方面,通过对出租车计价器收费方法的算法设计,培养和提高学生逻辑思维能力以及培养学生在算法研究中的自学探究能力和解决具体问题的能力。 在情感目标方面,通过对出租车计价器收费方法的算法设计,激发学生兴趣,提高学生学习的主动性和积极性。让学生知道算法设计在现实生活中的重要性和程序设计的实用性。同时也倡导同学间的相互研究

讨论的风气,逐步养成合作学习的好风气,取长补短、共同提高。[关于教学设计] 中小学信息科技课程既承担着让中小学生了解、熟悉、掌握信息科技的基础知识和基本操作技能的任务,又承担着通过学习,学会利用信息技术发展创造性思维,培养解决真实、开放问题能力的任务。 因此以项目式学习的方法来展开教学,学生以小组为单位进行选择,开展项目式学习。以生活中的实际情况为例,激发学生的学习热情与兴趣。 整个教学过程如下: 1、引入问题 2、布置任务 3、建立数学模型 4、确定算法画出流程图: 5、编写应用程序 6、进行作品展示 7、活动反思 [关于教学策略]

出租车计价器 课程设计报告

软件学院 课程设计报告 课程 题目出租车计价器 班级集成13-4 专业集成电路设计与集成系统 学生学号 指导教师(填写自己班的指导教师) 年月日 1.课程设计目的 全面熟悉、掌握VHDL语言基本知识,掌握利用VHDL语言对常用的组合逻

辑电路和时序逻辑电路编程,把编程和实际结合起来,熟悉编制和调试程序的技巧,掌握分析结果的若干有效方法,进一步提高上机动手能力,培养使用设计综合电路的能力,养成提供文档资料的习惯和规范编程的思想。 2.课程设计题目描述和要求 2.1.课程设计题目描述 ①.实现计费功能,计费标准为:按行驶里程计费,起步价为7.0元,并在车行3km 后按2.2元/km 计费,当计费器达到或超过20元时,每公里加收50%的车费,车停止不计费。 ②.现场模拟功能:以开关或按键模拟公里计数,能模拟汽车起动、停止、暂停等状态。 ③.将车费和路程显示出来,各有一位小数。 2.2.总体设计思路框架 2.3.课程设计题目要求 ①.设计正确,方案合理。 ②.程序精炼,结构清晰。 ③.设计报告含程序设计说明,用户使用说明,源程序清单及程序框图。 ④.上机演示。 ⑤.有详细的文档。文档中包括设计思路、设计仿真程序、仿真结果及相应的分析与结论。 3.设计思想和设计内容 3.1 出租车计费设计

该出租车计费器按下开关S1后开始计费和计里程数,起步价是7元,行驶3公里,以后2.2元/公里。并且设计选择模块,在车费超过20元每公里加收50%车费即3.3元/公里。 3.2 基本设计思想 出租车计费器根据设计要求可初步分为3方面——显示、记里程数、记费。之后再根据三方面分别设计模块。1.显示模块。一般计数器显示数字为1-F即16进制,而16进制不方便观看,所以在设计这一模块时我们将16进制改为10进制输出进而设计了译码模块。2、里程模块。设计要求对里程计数主要分为两个方面,计数以及公里数比较。即3公里之内收7元,3公里之后20元(通过计算为9公里)以内每公里2.2元,9公里以外为每公里3.3元。所以,我们将里程模块分为里程计数模块以为比较模块。3.计费模块。计费模块同里程比较模块所以将两个模块合二为一,为价格计算模块。 4.Verilog代码 4.1顶层模块 module taxi( clk,stop,rst_n, time1,time2,time3,time4, seg1,seg2,seg3,seg4 ); input clk; input stop; input rst_n; output [6:0]time1; output [6:0]time2; output [6:0]time3; output [6:0]time4;

出租车计价器硬件设计报告

摘要 随着现代社会节奏的加快,越来越多的人出行时都选择乘坐出租车。在交通发展迅速的今天,出租车是我们交通中不可缺少的工具。同时出租车中的计价器是必不可少的一种设备。这次专业课程设计就是通过模拟设计出租车计价器,使同学们对单片机硬件设计有一些了解。这样一来理论得到了实践。这说明单片机的应用已深入国民经济和日常生活的各个领域。 该设计的主要内容是通过C51单片机上的数码显示器来模拟出租车计价器的路程显示表,通过单片机上的键盘上的某些键来表示开始、暂停、复位等功能。步骤如下:首先,程序开始做一些必要的初始化工作,等待键盘输入。然后,启动键按下(0)后LED数码管开始记录路程并显示。最后,当需要停止是可按相应的键(F)对路程计数器进行暂停。而且还可以复位(E)…… 更应该学习和掌握专业及计算机知识,利用实习机会掌握课程设计精髓,为日后实习和工作打下牢固的基础等等。 关键字C51单片机8255出租车计价器LED数码管

目录 前言------------------------------------------------------------------------------------------------------3 第一章设计内容及要求-------------------------------------------------------------------4 1.1 设计内容及要求--------------------------------------------------------------------4 1.2 框图-----------------------------------------------------------------------------------4第二章系统组成及工作原理-------------------------------------------------------------5 2.1 控制单元设计----------------------------------------------------------------------5 2.1.1 按键名称-----------------------------------------------------------------------5 2.1.2 按键工作操作说明------------------------------------------------------------5 2.2 输出单元设计-----------------------------------------------------------------------5 2.3 定时器的功能和使用方法---------------------------------------------------5 第三章电路方案设计----------------------------------------------------------------------6 3.1 方案比较----------------------------------------------------------------------------6 3.2 总设计框图-------------------------------------------------------------------------7 3.3 单位电路设计----------------------------------------------------------------------8 3.3.1 复位电路设计-----------------------------------------------------------------8 3.3.2 时钟电路设计-----------------------------------------------------------------9 3.3.3 单片机最小系统-------------------------------------------------------------10 3.4 8255A键盘显示电路设计--------------------------------------------------------11第四章程序设计----------------------------------------------------------------------------12 4.1 主程序模块-------------------------------------------------------------------------12 4.2 定时中断服务程-------------------------------------------------------------------12 4.3 里程计数程序-----------------------------------------------12第五章实验调试及测试结果与分析-------------------------------------------------14 第六章总结与体会---------------------------------------------------------------------15 参考文献---------------------------------------------------------------------------------------16附录一-------------------------------------------------------------------------------------------17 附录二-------------------------------------------------------------------------------------------26 附录三-------------------------------------------------------------------------------------------27

EDA课程设计报告--出租车计价器

EDA课程设计报告--出租车计价器

课程设计 课程设计名称:出租车计价器 专业班级 学生姓名: 学号: 指导教师: 课程设计时间:

1 设计任务及要求 出租车计价器根据乘客乘坐汽车行驶距离和等候时间的多少进行计价,并在行程中同步显示车费值。从起步开始,行程3公里内,且等待累计时间2分钟内,起步费10元;3公里以外以每公里1.6元计费,等待累计时间2分钟外以每分钟1.5元计费。并能显示行驶公里数、等待累计时间、总费用。设计的主要技术指标:(1)计价范围:0—999.9元计价分辨率:0.1元(2)计程范围:0—99公里计程分辨率:1公里(3)计时范围:0—59分计时分辨率:1分本次设计中假设出租车的速度传感器具有出租车每行驶1Km提供1000个脉冲信号的特性。当行驶速度小于等于6KM/h时为等待。本设计的最终任务是显示出租车的等待时间和行驶里程,并计算出所需费用。根据要求需要进行如下分工,首先要有一个分频模块(FPQ)产生系统工作用的基准信号1HZ,供系统中的有关模块计时用。其次要有一个判断等待与否的模块(DDPB),再者就是要有计时和计程模块(DDSJ和LCJS),最后要有计费模块和显示译码模块(JFZ和YIMA)。 2设计原理及总体框图 (1)设计总体框图 图1总设计框图 (2)设计总原理图

图2设计总原理图 设计总原理:测控FPGA芯片通过采集速度传感器脉冲信号WCLK进行行驶里程计算,利用外部脉冲信号CLK1产生标准时钟信号,用来计算等待时间,最后根据行驶里程、等待时间来计算计价值。并用译码电路显示行驶里程、等待时间和计价值。 3 程序设计 1.VHDL语言简单介绍 VHDL语言(VHSIC Hardware Description Language,甚高速集成电路硬件描述语言)是一种设计、仿真、综合的标准硬件描述语言,是对可编程逻辑器件进行开发与设计的重要工具,其优点是:支持自上而下和基于库的设计,支持范围广,具有多层次描述系统硬件功能的能力。VHDL语言已成为IEEE的一

出租车计价器控制电路的设计方案

数字电子课程设计 课题名称出租车计价器控制电路的设计 所在院系机电学院 班级07自动化<2)班 学号200710320227 姓名龚涛 指导老师张玲 时间2009-12-27

景德镇陶瓷学院 数字电子课程设计任务书 班级:07自动化<2)班姓名:龚涛指导老师:张玲

教研室主任签字:年月日 目录 0.前言4 1.设计要求及原理4 1.1 设计要求4 1.2 基本原理4 2.各单元设计说明5 2.1 单片机最小系统单元5 2.2 A44E霍尔传感器检测单元6 2.3 AT24C01存储单元7 2.4 键盘调整单元8 2.5 显示单元9 3程序设计9 3.1 系统主程序9 3.2 中断程序10 3.2.1 里程计数中断程序10

3.2.2 中途等待中断程序10 3.3 计算程序10 3.4 显示程序10 3.5 键盘程序10 4.0总电路图11 5.0原器件清单12 6.0参考文献12 0.前言 随着出租车行业的发展,出租车已经是城市交通的重要组成部分,从加强行业管理以及减少司机与乘客的纠纷出发,具有良好性能的计价器对出租车司机和乘客来说都是很必要的。而采用模拟电路和数字电路设计的计价器整体电路的规模较大,用到的器件多,造成故障率高,难调试。而采用单片机进行的设计,相对来说功能强大,用较少的硬件和适当的软件相互配合可以很容易地实现设计要求,且灵活性强,可以通过软件编程来完成更多的附加功能。本设计采用AT89S52单片机为主控器,以A44E霍尔传感器测距,实现对出租车的多功能的计价设计,并采用AT24C01实现在系统掉电的时候保存单价等信息,输出采用8段数码显示管。本电路设计的计价器不但能实现基本的计价,而且还能根据白天,黑夜和中途等待来调节单价。 1.设计要求及原理 1.1 设计要求

出租车计价器的设计【开题报告】

开题报告 电气工程及其自动化 出租车计价器的设计 一.综述本课题国内外研究动态,说明选题的依据和意义: 随着我国经济的迅速发展,人民生活水平的显著提高,城市的交通日趋完善,出租车计价器的应用也越来越广泛。虽然私家车的拥有量在大幅度地提高,但是出租车还是在我国的交通运输中承担着重要的角色,出租车计价器是出租车上必不可少的重要仪器,它是负责出租车营运收费的专用智能化仪表。用户不仅要求计价器性能稳定、计价准确,而且随着功能越来越多对其他方面要求也越来越高。 出租车行业在我国是八十年代初兴起的一项行业,伴随着我国国民经济的高速发展,出租车已成为城市公共交通的重要组成部分,多年来国内普遍使用的计价器只具备单一的计量功能,不能很好的满足市场的需求。在我国第一家生产计价器的企业是重庆市起重机厂。发展到现在,国内生产计价器的企业已经有上百家,主要是集中在北京,上海,沈阳和广州等地。 最早的计价器全部采用机械齿轮结构,只能完成简单的计程功能,可以说早期的计价器就是一个里程表。随着科学技术的发展,产生了第二代计价器。它采用了手摇计算机与机械结构相结合的方式,实现了半机械半电子化,此时它在计程的同时还可以完成计价的工作。 大规模集成电路的发展又产生了第三代计价器,也就是全电子化的计价器,它的功能也在不断完善。当单片机出现并应用于计价器后,现代出租车计价器的模型也就基本出现了,它可以完成计程,计价,显示等基本工作。单片机以及外围芯片的不断发展也促进了计价器的发展,现在出租车计价器在使用时具备的主要功能是根据行驶里程计价,并且要求精度高,可靠性好。 近年来,我国出租汽车行业迅猛发展,出租汽车已经成为我国城市公共交通的重要组成部分和现代化城市必备的基础设施,成为人们工作、生活中不可缺少的交通工具。出租汽车服务行业和出租汽车计价器紧密相关,因为出租汽车必须安装出租汽车计价器才能投入营运。出租汽车计价器是一种能根据乘客乘坐汽车行驶距离和等候时间的多少进行计价,并直接显示车费值的计量器具。计价器是出租汽车的经营者和乘

单片机课程设计 出租车计价器

摘要 出租车已经是城市交通的重要组成部分,从加强行业管理以及减少司机与乘客的纠纷出发,具有良好性能的计价器对出租车司机和乘客来说都是很必要的。而采用模拟电路和数字电路设计的计价器整体电路的规模较大,用到的器件多,造成故障率高,难调试。而采用单片机进行的设计,相对来说功能强大,用较少的硬件和适当的软件相互配合可以很容易地实现设计要求,且灵活性强,可以通过软件编程来完成更多的附加功能。本设计的是一个基于单片机AT89S52的出租车自动计费设计,附有复位电路,时钟电路,键盘电路等。复位电路是单片机的初始化操作,除了正常的初始化外,为摆脱困境,通过复位电路可以重新开始。时钟电路采用12MHz的晶振,作为系统的时钟源,具有较高的准确性。 在上电时LED数码管显示最初的起步价,里程收费,等待时间收费三种收费。按暂停键,计价器可暂停计价,按查询键,在LED数码管上可以显示运行时等待的时间。通过计算可以得出总共的费用和总的路程。在这里主要是以AT89S52 单片机为核心控制器,P0口、P2 口接两片四合一数码管,P1口接按键,通过按键输入。 关键词:单片机 AT89S52;LED数码管;出租车计费器;

目录 1 概述 (1) 1.1 课题简介 (1) 1.2 功能要求 (1) 2 系统总体方案及硬件设计 (2) 2.1 系统工作原理及总体方案 (2) 2.2 单片机最小系统单元 (3) 2.3 霍尔传感器检测单元 (3) 2.4 键盘调整单元 (5) 2.5 显示单元 (5) 3 软件设计 (7) 3.1系统主程序 (7) 3.2 按键扫描程序 (8) 3.3 中断程序 (9) 3.4 计算程序 (10) 3.5 显示程序 (10) 4 实验仿真 (12) 4.1 Proteus介绍 (12) 4.2 调试与测试 (12) 4.3 里程计价测试 (12) 5 课程设计体会 (14) 参考文献 (15) 附1:系统原理图 (16) 附2:源程序代码 (16)

出租车计价器说明

出租车计价器 1功能描述 出租车计价器是有液晶显示,按键控制,进行价格的设定,实现模拟出租车计价器的控制。 2产品模块配置 1. EDM606-12864点阵液晶 2. EDM001-MCS51单片机主板 3. EDM403-8位独立按键 4. EDM502直流电机 5. EDM405 PNP三极管驱动 6. EDM314+-5V,+-12V直流电源模块 3 单元模块电路及功能 1. EDM314+-5V,+-12V主流电源模块为各模块提供电源。 4产品模块连线 各模块都连接电源 5V,GND。 EDM001-MCS51主机:P00~P07 连EDM606-12864点阵液晶: DB0~DB7 EDM001-MCS51主机:P10~P17 连EDM606-12864点阵液晶: RST~NC EDM001-MCS51主机:P20~P27 连 EDM403-8位独立按键: F2~左 EDM001-MCS51主机:P30 连 EDM405 PNP三极管驱动: IN EDM001-MCS51主机:P32 连 EDM502直流电机:PULSE EDM405 PNP三极管驱动:OUT 连 EDM502直流电机:M- EDM502直流电机:M+ 连 VCC 产品原理图:

6 实验步骤及调试 F2为开机键 F1为菜单设置保存键 SET为启动键 0K按一次为暂停键按二次为完成键 上为菜单设置键 下为菜单设置键 左为菜单设置键 右为菜单设置键 功能使用说明:连线完成上电 按F2开机过五秒后进入出租车计价器菜单按F1 设置菜单此时设置的菜单会变黑,按左右键进行加减(只有价格可以修改)当要修改其他的参数的时候会提示不能操作,(因为路程等其他参数是不能进行修改的不符合实际)价格设置完成后按F1保存按SET启动电机转动当遇到堵车或其他原因要暂时停车可按OK键在此行驶时按SET键即可继续启动, 停止时按OK键,按F1键查看菜单行驶》单价》总价》时间》总路程》载人次数》工作时间》累计金额。 再按OK,清零。 当提示无权操作的时候,按F1返回。

出租车计价器控制系统电路设计

嵌入式系统设计题目:出租车计价器控制系统电路设计 学号: 姓名:深蓝

新型出租车计价器控制电路的设计 第1章绪论 1.1 背景及意义 进入21世纪的今天,科技高速发展。同样,出租车行业也在发展,出租车已经是城市交通的重要组成部分,从加强行业管理以及减少司机与乘客的纠纷出发,具有良好性能的计价器对出租车司机和乘客来说都是很必要的。 我们知道,只要乘坐的出租车启动,随着行驶里程的增加,就会看到司机旁边的计价器里程数字显示的读数从零逐渐增大,而当行驶到某一值时(如2KM)计费数字显示开始从起步价(如¥:6元)增加。当乘客到站时,按下停止按键,计费数字显示总里程和总金额,它可以很直观的反映用户使用情况。 在出租车是城市交通的重要组成部分,行业健康和发展也获得越来越多的关注。汽车计价器是乘客与司机双方的交易准则,它是出租车行业发展的重要标志,是出租车中最重要的工具。它关系着交易双方的利益。具有良好性能的计价器无论是对广大出租车司机朋友还是乘客来说都是很必要的,因此,汽车计价器的研究也是十分有一个应用价值的。 通过本次设计,可以增进对单片机的感性认识,加深对其理论方面的理解,掌握单片机的内部功能模块的应用,了解掌握单片机的软硬件设计过程、方法及实现。 1.2设计要求 主要的外围功能电路有:驱动电路,按键控制电路,掉电保护电路,时钟部分,数码管显示电路等。 通过对以上各功能的设计,制作出的出租车计价器应具有以下功能: 1)上电时显示全为零,通过按下启动按键来开始计价,数码管开始显示起步价和起 步金额; 2)按下模拟开关按键来产生一个脉冲信号,模拟行驶的里程; 3)数码管开始显示所走里程和所应付的金额,并逐渐增加; 4)按下停止按键,停止计价,数码管显示所走总里程和用户所需付总金额,按下清 零按键,数码管全显示零,以备下次计价。

出租汽车计价器.doc

产品质量监督抽查实施规范 CCGF 517.3—2010 出租汽车计价器 2011—02—10发布 2011—03—01实施国家质量监督检验检疫总局

出租汽车计价器产品质量监督抽查实施规范 1 范围 本规范适用于出租汽车计价器产品质量国家监督抽查,针对特殊情况的专项国家监督抽查、县级以上地方质量技术监督部门组织的地方监督抽查可参照执行。本规范内容包括产品分类、企业规模划分、检验依据、抽样、检验要求、判定原则、异议处理复检及附则。 注:针对特殊情况的专项国家监督抽查是指应急工作需要而进行的或者由于某种特殊情况(或原因)仅需要对部分项目进行抽样检验的专项监督抽查。 2 产品分类 产品分类及代码见表1 。 表1 产品分类及代码 3 企业规模划分 根据出租汽车计价器产品行业的实际情况,生产企业规模以出租汽车计价器产品年销售额为标准划分为大、中、小型企业。见表2。 表2 企业规模划分 4 检验依据 下列引用的文件,其最新版本或修改单均适用于本规范。 JJG517-2009 出租汽车计价器 相关的法律法规、部门规章和规范 经备案现行有效的企业标准及产品明示质量要求。 被抽查样品销往地运价管理部门规定的出租汽车运营收费标准。 5抽样 5.1抽样型号或规格 按JJG517-2009《出租汽车计价器》设计制造的所有型号的出租汽车计价器(出租汽车税控计价器)。 5.2抽样方法、基数及数量 5.2.1抽样方法

在企业成品仓库内,随机抽取近期生产的同一批次,并有产品质量检验合格证明或者以其他形式表明合格的产品。 每个企业只抽一个型号的产品。若企业只有一个型号的产品满足抽样基数的要求,则抽取该型号的产品;若企业有两个(含两个)以上型号的产品满足抽样基数的要求,则首先采取随机抽样的方法确定此次抽查的产品型号,然后针对该型号的产品随机抽取样品。 抽样人员除抽取样品外,还必须要求被抽查企业提供以下文件的复印件并带回承检单位:(1)制造计量器具生产许可证正副文本;(2)被抽样品销往地区运价管理部门批准的出租车运营价格的有关文件或被抽样品的编程表;(3)被抽查型号样品的《企业标准》、《技术说明书》、《使用说明书》及附件清单;(4)被抽查型号样品的专用参数设置器及设置方法文件。 5.2.2抽样基数 抽样基数不少于10台。 5.2.3抽样数量 抽取样品数2台。其中出厂编号小的1台为检验样品。出厂编号大的1台为备用样品。 注:在本规范的规定中,检验机构在检验过程中对检验结果进行复验所采用的样品,应是抽取的检验样品,不能采用备用样品。备用样品仅是指被抽查企业或者经过确认了样品的生产企业对检验结果提出异议,需要对不合格项目进行复检时,采用的备用样品。 5.3样品处置 抽取的样品应是企业近期的产品,样品要求组件和附件齐全,被抽查样品必须带有被抽查单位的合格证。抽样人员要使被抽查样品签封严密、牢固,使其不易被启封、调换。 5.4抽样单 应按有关规定填写抽样单,并记录被抽查产品及企业相关信息。同时记录被抽查企业上一年度生产的出租汽车计价器产品销售总额,以万元计;若企业上一年度未生产,则记录本年度实际销售额,并加以注明。被抽查企业必须在抽样单上签字、盖章,做到手续齐全。 注:记录的“产品销售总额”中的产品是指计划抽查的产品。 6检验要求 6.1检验项目及重要程度分类 检验项目及重要程度分类见表3。

基于Verilog HDL设计的出租车计价器.doc

西华大学课程设计说明书 题目:出租车计价器 专业:信息工程 年级:2009级 学生:潘帅 学号:33200908020**** 指导教师:林竞力 完成日期: 2012 年 6 月 6 日

摘要:本文介绍了一种采用可编程逻辑器件(FPGA/CPLD)进行出租车计费器的设计思想以及实现方法。本设计实现了出租车计费器所需的一些基本功能,计费金额包括起步价、里程计费、等待计时计费等。该设计采用模块化设计,在Quartus II9.0软件平台下,采用Verilog HDL 硬件描述语言描述和模拟仿真了分频模块、计程模块、计费模块、计时模块,译码以及动态扫描显示模块,完成了出租车计费器仿真设计和硬件电路的实现。 关键词:FPGA,出租车计费器,Quartus,Verilog HDL Abstract:This article describes the design ideas and methods of the taximeter, through the programmable logic device (FPGA/CPLD).The design of a taximeter to achieve the required basic functions,The total of billing included the starting price, metered, and wait for time billing.Through the Quartus II 9.0 Software Platform,Using Verilog HDL language completed the description and simulation of frequency module, the meter module, Billing module, timing modules, decoding, dynamic scanning display module. IT It has completed the taxi meter simulation and the hardware circuit. Keywords:FPGA, Taximeter, Quartus, Verilog HDL

出租车计价器报告(共10篇)

出租车计价器报告(共 10 篇) 课程设计说明书 课程:EDA 技术基础 题目:出租车计费器 学班级专 XX 年12 月20 日 长沙理工大学课程设计任务书 物理与电子科学学院电信专业 1201 班姓名田伟正课程名称 EDA 技术基础题目出租车计费器 长沙理工大学课程设计成绩评定表 目录 1.设计任务. ......................................1 2.设计方案. ......................................1 3.各功能模块的原理及思路分析.....................分频模块. ...................................计量模块. ...................................2 计费模块. ...................................控制模块. ...................................显示模块. ...................................4 模拟出租车脉冲信号发生器....................4 头文件.

.....................................54.个人模块. ..........................55.个人模块方案验证. ..............................76.心得体会. ......................................8 参考文献. . (9) 1.设计任务 设计一个出租车自动计费器,计费包括起步价、行车 里程计费、等待时间计费三部分。起步价为元,2 公里之内按起步价计费,超过 2 公里,每公里增加元,等待时间单价为每 1 分钟元。用数码管显示总金额、总里程、等待时间。设汽车每前进十米里程传感器输出一个脉冲。 设计一个测试用模拟车速的脉冲源,模拟的车速可通 过按键调整,范围为 5km/h~200km/h 发挥部分: 时钟,白天夜间计费模式 按长沙市出租车现行收费标准设计计费器 2.设计方案 根据设计要求,系统的输入信号有:系统时钟信号CLK,出租车启动信号 START,出租车每十米输出一个脉冲,等待信号 STOP,公里信号 FIN。系统的输出信号有:总费用数C0-C3,行驶距离 K0-K1 和等待时间 M0-M1 等。系统组成方框图如下所示,它由模拟出租车脉冲信号、分频模块、计量模块、计费模块、控制模块和显示模块六部分组成。总流程图:

出租车计价器系统设计中英文对照版

Machi ne tool nu merical con trol reforms 机床数控改造 First, CNC systems and the developme nt trend of history 一、数控系统发展简史及趋势 1946 birth of the world's first electronic computer, which shows that human beings created to enhance and replace some of the men tal work tools. It and huma n agriculture, in dustrial society in the creati on of those who merely in crease compared to manual tools, from a qualitative leap for mankind's entry into the information society laid the foun datio n. Six years later, i n 1952, computer tech no logy applied to the machine in the United States was born first CNC machine tools. Since then, the traditional machine produced a qualitative change. Nearly half a century since the CNC system has experie need two phases and six gen erati ons of developme nt. 1946年诞生了世界上第一台电子计算机,这表明人类创造了可增强和部分代替脑力劳动的工具。它与人类在农业、工业社会中创造的那些只是增强体力劳动的工具相比,起了质的飞跃,为人类进入信息社会奠定了基础。6年后,即在1952年,计算机技术应用到了机床上,在美国诞生了第一台数控机床。从此,传统机床产生了质的变化。近半个世纪以来,数控系统经历了两个阶段和六代的发展。 1.1, Numerical Control (NC) phase (1952 to 1970) 1.1、数控(NC)阶段(1952?1970年) Early computer's computational speed low and the prevailing scientific computi ng and data process ing is not affected, but can not meet the requireme nts of real-time con trol machi ne. People have to use digital logic circuit "tied" into a sin gle mach ine as a dedicated computer nu merical con trol system, known as the hardware conn ection NC (HARD-WIRED NC), called the Numerical Control (NC). With the developme nt of comp onents of this phase after three gen eratio ns, that is, in 1952 the first gen erati on - tube; 1959 of the sec ond gen eratio n - tran sistor; 1965 of the third generation - small-scale integrated circuits. 早期计算机的运算速度低,对当时的科学计算和数据处理影响还不大,但不能适应机床实时控制的要求。人们不得不采用数字逻辑电路"搭"成一台机床专用计算机作为

出租车计价器总结报告讲解

电气工程学院 微机原理与接口技术课程设计 设计题目:出租车计价器系统设计 学号:11291092 姓名:杨艳丽 同组人:无 指导教师:徐建军 设计时间:2014.3.15-3.25 设计地点:电气学院实验中心

微机原理课程设计成绩评定表姓名杨艳丽学号11291092 课程设计题目:出租车计价器系统设计 课程设计答辩或提问记录: 成绩评定依据: 课程设计预习报告及方案设计情况(20%): 课程设计考勤情况(5%): 电路焊接情况(15%) 课程设计调试情况(40%): 课程设计总结报告与答辩情况(20%): 最终评定成绩(以优、良、中、及格、不及格评定) 指导教师签字: 年月日

微机原理与接口技术课程设计任务书学生姓名:杨艳丽指导教师:徐建军 一、课程设计题目: 出租车计价器系统设计 二、课程设计要求 1. 根据具体设计课题的技术指标和给定条件,独立进行方案论证和电路设计,要求概念清楚、方案合理、方法正确、步骤完整; 2. 查阅有关参考资料和手册,并能正确选择有关元器件和参数,对设计方案进行仿真; 3. 完成预习报告,报告中要有设计方案,设计电路图,还要有仿真结果; 4. 进实验室进行电路调试,边调试边修正方案; 5. 撰写课程设计报告——最终的电路图、调试过程中遇到的问题和解决问题的方法。 三、进度安排 1.时间安排 序号内容学时安排(天) 1 方案论证和系统设计 3.14-3.15 2 完成电路仿真,写预习报告 3.16-3.17 3 电路调试 3.18-3.25 4 写设计总结报告与答辩 3.26-3.27 合计13天 设计调试地点:电气楼410 2.执行要求 微机原理与接口技术课程成绩80分以上的同学可以自拟题目,其余的同学都是指定题目。,每组不得超过2人,要求学生在教师的指导下,独力完成所设计的详细电路(包括计算和器件选型)。严禁抄袭,严禁两篇设计报告雷同。

相关文档
相关文档 最新文档