文档库 最新最全的文档下载
当前位置:文档库 › FPGA设计流程指南

FPGA设计流程指南

FPGA设计流程指南
FPGA设计流程指南

FPGA设计流程指南

前言

本部门所承担的FPGA设计任务主要是两方面的作用:系统的原型实现和ASIC的原型验证。编写本流程的目的是:

●在于规范整个设计流程,实现开发的合理性、一致性、高

效性。

●形成风格良好和完整的文档。

●实现在FPGA不同厂家之间以及从FPGA到ASIC的顺利

移植。

●便于新员工快速掌握本部门FPGA的设计流程。

由于目前所用到的FPGA器件以Altera的为主,所以下面的例子也以Altera为例,工具组合为modelsim +

LeonardoSpectrum/FPGACompilerII + Quartus,但原则和方法对于其他厂家和工具也是基本适用的。

目录

1. 基于HDL的FPGA设计流程概述 (1)

1.1 设计流程图 (1)

1.2 关键步骤的实现 (3)

1.2.1 功能仿真 (3)

1.2.2 逻辑综合 (3)

1.2.3 前仿真 (4)

1.2.4 布局布线 (5)

1.2.5 后仿真(时序仿真) (6)

2. V erilog HDL设计 (7)

2.1 编程风格(Coding Style)要求 (7)

2.1.1 文件 (7)

2.1.2 大小写 (8)

2.1.3 标识符 (8)

2.1.4 参数化设计 (9)

2.1.5 空行和空格 (9)

2.1.6 对齐和缩进 (9)

2.1.7 注释 (10)

2.1.8 参考C语言的资料 (10)

2.1.9 可视化设计方法 (10)

2.2 可综合设计 (10)

2.3 设计目录 (10)

3. 逻辑仿真 (12)

3.1 测试程序(test bench) (12)

3.2 使用预编译库 (12)

4. 逻辑综合 (14)

4.1 逻辑综合的一些原则 (14)

4.1.1 关于LeonardoSpectrum (14)

4.1.1 大规模设计的综合 (15)

4.1.3 必须重视工具产生的警告信息 (15)

4.2 调用模块的黑盒子(Black box)方法 (15)

参考 (18)

修订纪录 (18)

1. 基于HDL的FPGA设计流程概述1.1 设计流程图

说明:

● 逻辑仿真器主要指modelsim ,V erilog-XL 等。

● 逻辑综合器主要指LeonardoSpectrum 、Synplify 、FPGA Express/FPGA Compiler 等。

● FPGA 厂家工具指的是如Altera 的Max+PlusII 、QuartusII ,Xilinx 的Foundation 、Alliance 、ISE4.1等。

1.2 关键步骤的实现

1.2.1 功能仿真 说明:

“调用模块的行为仿真模型”指的是RTL 代码中引用的由厂

家提供的宏模块/IP ,如Altera 提供的LPM 库中的乘法器、存储器等部件的行为模型。

1.2.2 逻辑综合

说明:

“调用模块的黑盒子接口”的导入,是由于RTL 代码调用了一些外部模块,而这些外部模块不能被综合或无需综合,但逻辑综合器需要其接口的定义来检查逻辑并保留这些模块的接口。

1.2.3 前仿真

说明:

一般来说,对FPGA设计这一步可以跳过不做,但可用于debug综合有无问题。

1.2.4 布局布线

1.2.5 后仿真(时序仿真)

2. Verilog HDL 设计

基于将来设计转向ASIC 的方便,本部门的设计统一采用

V erilog HDL ,但针对混合设计和混合仿真的趋势,所有开发人员也应能读懂VHDL 。

V erilog HDL 的学习可参考[1][2]。 2.1 编程风格(Coding Style )要求 2.1.1 文件

(1) 每个模块(module )一般应存在于单独的源文件中,

通常源文件名与所包含模块名相同。

(2)每个设计文件开头应包含如下注释内容:

●年份及公司名称。

●作者。

●文件名。

●所属项目。

●顶层模块。

●模块名称及其描述。

●修改纪录。

请参考标准示例程序[3]。

2.1.2 大小写

(1)如无特别需要,模块名和信号名一律采用小写字母。

(2)为醒目起见,常数(`define定义)/参数(parameter定义)采用大写字母。

2.1.3 标识符

(1)标识符采用传统C语言的命名方法,即在单词之间以“_”分开,如:max_delay、data_size等等。

(2)采用有意义的、能反映对象特征、作用和性质的单词命名标识符,以增强程序的可读性。

(3)为避免标识符过于冗长,对较长单词的应当采用适当的缩写形式,如用‘buff’代替‘buffer’,‘ena’代替

‘enable’,‘addr’代替‘address’等。

2.1.4 参数化设计

为了源代码的可读性和可移植性起见,不要在程序中直接写特定数值,尽可能采用`define语句或paramater语句定义常数或参数。

2.1.5 空行和空格

(1)适当地在代码的不同部分中插入空行,避免因程序拥挤不利阅读。

(2)在表达式中插入空格,避免代码拥挤,包括:赋值符号两边要有空格;

双目运算符两边要有空格;

单目运算符和操作数之间可没有空格,

示例如下:

a <= b;

c <= a + b;

if (a == b) then ...

a <= ~a & c;

2.1.6 对齐和缩进

(1)不要使用连续的空格来进行语句的对齐。

(2)采用制表符Tab对语句对齐和缩进,Tab键采用4个字符宽度,可在编辑器中设置。

(3)各种嵌套语句尤其是if...else语句,必须严格的逐层缩进对齐。

2.1.7 注释

必须加入详细、清晰的注释行以增强代码的可读性和可移植性,注释内容占代码篇幅不应少于30%。

2.1.8 参考C语言的资料

要形成良好的编程风格,有许多细节需要注意,可以参考资料[4],虽然它是针对C语言的讨论,但由于V erilog HDL和C 语言的形式非常近似,所以里面提到的很多原则都是可以借鉴的。

2.1.9 可视化设计方法

为提高设计效率和适应协同设计的方式,可采用可视化的设计方法,Mentor Grahpics的Renoir软件提供了非常好的设计模式。

2.2 可综合设计

用HDL实现电路,设计人员对可综合风格的RTL描述的掌握不仅会影响到仿真和综合的一致性,也是逻辑综合后电路可靠性和质量好坏最主要的因素,对此应当予以充分的重视。

学习可综合的HDL请参考[5][6] [7]。

学习设计的模块划分请参考[8]。

2.3 设计目录

采用合理、条理清晰的设计目录结构有助于提高设计的效率、

可维护性。建议采用类似下面的目录结构:(1)

(2)

design

src

(源代码)

syn

(综合)

sim

(仿真)

par

(布局布线)

design

ver1 ver2

(源代码)

syn

(综合)

sim

(仿真)

par

(布局布线)

src

(源代码)

syn

(综合)

sim

(仿真)

par

(布局布线)

3. 逻辑仿真

考虑到性能和易用性,首选的逻辑仿真器是Mentor Graphics 的modelsim。

3.1 测试程序(test bench)

测试程序对于设计功能和时序的验证有着举足轻重的影响,测试激励的完备性和真实性是关键所在,有以下原则须遵循:(1)测试激励输入和响应输出采集的时序应当兼顾功能仿真(无延时)和时序仿真(有延时)的情况。

(2)对于周期较多的测试,为提高效率,尽可能采用程序语句来判断响应与标准结果是否一致,给出成功或出错

标志,而不是通过观察波形来判断。

(3)采用基于文件的测试是很好的办法,即由matlab或spw 等系统工具产生测试数据,测试程序将其读入产生激

励,再把响应结果写入到文件,再交给上述工具进行处

理或分析。

(4)仿真器支持几乎所有的V erilog HDL语法,而不仅仅是常用的RTL的描述,应当利用这一点使测试程序尽可能

简洁、清楚,篇幅长的要尽量采用task来描述。

3.2 使用预编译库

在进行功能仿真和后仿真时都需要某些模块的行为仿真模型

和门级仿真模型,如Altera Quartus里的220model.v(LPM模块行为仿真模型)和apex20ke_atoms.v(20KE系列门级仿真模型),为避免在不同的设计目录中多次编译这些模型,应当采用一次编译,多次使用的方法。具体做法如下(以20KE门级库为例):

1:在某个工作目录下新建一库名apex20ke,将apex20ke_atoms.v编译到其中。

2:在图形界面中的Load Design对话框中装入仿真设计时,在V erilog 标签下指定预编译库的完整路径。(见下图)

4. 逻辑综合

目前可用的FPGA综合工具有Mentor Graphics 的LeonardoSpectrum,Synplicity的Synplify和Synopsys 的FPGA CompilerII/FPGA Express,LeonardoSpectrum由于性能和速度最好,成为我们首选的综合器,FPGA CompilerII/FPGA Express由于可以和Design Compiler代码兼容也可用。见参考[9]

4.1 逻辑综合的一些原则

HDL代码综合后电路质量的好坏主要取决于三个方面:RTL 实现是否合理、对厂家器件特点的理解和对综合器掌握的程度。参考[10]中有比较全面的讨论。

4.1.1 关于LeonardoSpectrum

LeonardoSpectrum对综合的控制能力比较强,但使用也略为复杂,故需要在使用前尽量熟悉其功能,才能取得较好的综合结果。

当出现综合结果不能满足约束条件时,不要急于修改设计源文件,应当通过综合器提供的时序和面积分析命令找出关键所在,然后更改综合控制或修改代码。

在LeonardoSpectrum 2000.1b以前的版本输出的.v 网表都不能用于仿真。

4.1.1 大规模设计的综合

●分块综合

当设计规模很大时,综合也会耗费很多时间。如果设计只更改某个模块时,可以分块综合。如有设计top.v 包含a.v和b.v 两个模块,当只修改a.v的话,可以先单独综合b.v,输出其网表b.edf,编写一个b模块的黑盒子接口b_syn.v,每次修改a.v 后只综合top.v、a.v、b_syn.v,将综合后的网表和b.edf送去布线,可以节约综合b模块的时间。

●采用脚本命令

当设计规模比较大时,综合控制也许会比较复杂,可以考虑采用脚本控制文件的方式进行综合控制,modelsim、LeonardoSpectrum和Quartus都支持TCL(Tool Command Language)语言,采用脚本控制可以提供比图形界面更灵活和更方便的控制手段。

4.1.3 必须重视工具产生的警告信息

综合工具对设计进行处理可能会产生各种警告信息,有些是可以忽略的,但设计者应该尽量去除,不去除必须确认每条警告的含义,避免因此使设计的实现产生隐患。

这个原则对仿真和布局布线同样适用。

4.2 调用模块的黑盒子(Black box)方法

使用黑盒子方法的原因主要有两点:

一是HDL代码中调用了一些FPGA厂家提供的模块(如Altera的LPM模块)或第三方提供的IP,这些模块不需要综合,而且有些综合器也不能综合(如FPGA CompilerII/FPGA Express 可以综合包含LPM的代码而LeonardoSpectrum不能)。因此须提供一个黑盒子接口给综合器,所调用的模块到布局布线时才进行连接。

二是方便代码的移植,由于厂家提供的模块或第三方提供的IP通常都是与工艺有关的,直接在代码中调用的话将不利于修改,影响代码移植。

下面以调用Altera的LPM库中的乘法器为例来说明。调用这样一个模块需要这样一个文件:mult8x8.v(可由Quartus的MegaWizer Plug-in Manager产生),代码如下:

// mult8x8.v

module mult8x8 (dataa, datab, result);

input [7:0] dataa;

input [7:0] datab;

output [15:0] result;

// exemplar translate_off

// synopsys translate_off

lpm_mult lpm_mult_component(

.dataa (dataa),

华为_FPGA设计流程指南

FPGA设计流程指南 前言 本部门所承担的FPGA设计任务主要是两方面的作用:系统的原型实现和ASIC的原型验证。编写本流程的目的是: ●在于规范整个设计流程,实现开发的合理性、一致性、高效性。 ●形成风格良好和完整的文档。 ●实现在FPGA不同厂家之间以及从FPGA到ASIC的顺利移植。 ●便于新员工快速掌握本部门FPGA的设计流程。 由于目前所用到的FPGA器件以Altera的为主,所以下面的例子也以Altera为例,工具组合为modelsim + LeonardoSpectrum/FPGACompilerII + Quartus,但原则和方法对于其他厂家和工具也是基本适用的。

目录 1. 基于HDL的FPGA设计流程概述 (1) 1.1 设计流程图 (1) 1.2 关键步骤的实现 (2) 1.2.1 功能仿真 (2) 1.2.2 逻辑综合 (2) 1.2.3 前仿真 (3) 1.2.4 布局布线 (3) 1.2.5 后仿真(时序仿真) (4) 2. Verilog HDL设计 (4) 2.1 编程风格(Coding Style)要求 (4) 2.1.1 文件 (4) 2.1.2 大小写 (5) 2.1.3 标识符 (5) 2.1.4 参数化设计 (5) 2.1.5 空行和空格 (5) 2.1.6 对齐和缩进 (5) 2.1.7 注释 (5) 2.1.8 参考C语言的资料 (5) 2.1.9 可视化设计方法 (6) 2.2 可综合设计 (6) 2.3 设计目录 (6) 3. 逻辑仿真 (6) 3.1 测试程序(test bench) (7) 3.2 使用预编译库 (7) 4. 逻辑综合 (8) 4.1 逻辑综合的一些原则 (8) 4.1.1 关于LeonardoSpectrum (8) 4.1.1 大规模设计的综合 (8) 4.1.3 必须重视工具产生的警告信息 (8) 4.2 调用模块的黑盒子(Black box)方法 (8) 参考 (10) 修订纪录 (10)

FPGA原理图方式设计流程图

2 Quartus II软件的使用、开发板的使用 本章将通过3个完整的例子,一步一步的手把手的方式完成设计。完成这3个设计,并得到正确的结果,将会快速、有效的掌握在Altera QuartusII软件环境下进行FPGA设计与开发的方法、流程,并熟悉开发板的使用。 2.1 原理图方式设计3-8译码器 一、设计目的 1、通过设计一个3-8译码器,掌握祝组合逻辑电路设计的方法。 2、初步了解QuartusII采用原理图方式进行设计的流程。 3、初步掌握FPGA开发的流程以及基本的设计方法、基本的仿真分析方法。 二、设计原理 三、设计内容 四、设计步骤 1、建立工程文件 1)双击桌面上的Quartus II的图标运行此软件。

开始界面 2)选择File下拉菜单中的New Project Wizard,新建一个工程。如图所 示。 新建工程向导

3)点击图中的next进入工作目录。 新建工程对话框 4)第一个输入框为工程目录输入框,用来指定工程存放路径,建议可根据自己需要更改路径,若直接使用默认路径,可能造成默认目录下存放多个工程文件影响自己的设计,本步骤结束后系统会有提示(当然你可不必理会,不会出现错误的)。第二个输入框为工程名称输入框。第三个输入框为顶层实体名称输入框,一般情况下保证工程名称与顶层实体名称相同。设定完成后点击next。

指定工程路径、名称 5)设计中需要包含的其它设计文件,在此对话框中不做任何修改,直接点 击next。 工程所需其它文件对话框

6)在弹出的对话框中进行器件的选择。在Device Family框中选用Cyclone II,然后在Available device框中选择EP2C35F484C8,点击next进入下一步。 器件选择界面 7)下面的对话框提示可以勾选其它的第三方EDA设计、仿真的工具,暂时不作任何选择,在对话框中按默认选项,点击next。

华为FPGA加速云服务器用户指南

华为FPGA 加速云服务器用户指南

目录 1简介 (1) 2购买FPGA 加速云服务器 (3) 3使用前准备 (4) 3.1绑定弹性IP. (4) 3.2下载开发套件 (4) 3.3安装和配置fisclient 工具 (4) 4FPGA 开发和应用运行 (5) A 修订记录 (6)

1简介 概述 FPGA加速云服务器(FPGA Accelerated Cloud Server, FACS)提供FPGA开发和使用的 工具及环境,让您可以更加方便地开发FPGA加速器和部署基于FPGA加速的业务,为 您提供易用、经济、敏捷和安全的FPGA云服务。FPGA加速型弹性云服务器包括两 类: l 高性能架构 基于DPDK的高性能交互框架,支持流计算模型,支持数据流并发,主要用于RTL 开发场景,满足用户高带宽低时延的要求。 l 通用型架构 基于SDAccel的通用型交互框架,支持块计算模块,支持Xilinx SGDMA数据传输 框架,主要用于高级语言开发或已有算法移植,满足用户快速上线的需求。 说明 FPGA加速云服务器当前处于公测阶段。 使用场景 使用FPGA加速云服务器提供硬件开发套件(HDK)和应用开发套件(SDK),可以进 行AEI(Accelerated Engine Image)的开发和应用。 FPGA加速云服务器提供现场可编程门阵列(FPGA)及较高的计算性能配置的实例, 可以适用于并行计算要求较高的应用,例如机器学习、搜索引擎、人工智能等场景。 基本概念 l 硬件开发套件(HDK):HDK包括加速器示例、编码环境、仿真平台、自动化编译工具、代码加密和调试工具包等必备工具。 l 应用开发套件(SDK):SDK包括应用示例、硬件抽象接口、加速器抽象接口、加速器驱动和runtime、版本管理工具等必备工具。 l 加速引擎镜像(AEI):用户采用华为云平台开发出来的加速实例。 l 现场可编程门阵列(FPGA):一种门级可编程的器件,通过硬件描述语言(Verilog或VHDL)所完成的电路设计,经过综合与布局,实现复杂的组合或者时 序逻辑功能。 l 镜像:弹性云服务镜像。

华为硬件面试重点

16、那种排序方法最快? (华为面试题) 20、用C语言写一个递归算法求N!;(华为面试题) 21、给一个C的函数,关于字符串和数组,找出错误;(华为面试题) 22、防火墙是怎么实现的?(华为面试题) 23、你对哪方面编程熟悉?(华为面试题) 共同的注意点 1.一般情况下,面试官主要根据你的简历提问,所以一定要对自己负责,把简历上的东西搞明白; 2.个别招聘针对性特别强,就招目前他们确的方向的人,这种情况下,就要投其所好,尽量介绍其所关心的东西。 3.其实技术面试并不难,但是由于很多东西都忘掉了,才觉得有些难。所以最好在面试前把该看的书看看。 4.虽然说技术面试是实力的较量与体现,但是不可否认,由于不用面试官/公司所专领域及爱好不同,也有面试也有很大的偶然性,需要冷静对待。不能因为被拒,就否认自己或责骂公司。 5.面试时要take it easy,对越是自己钟情的公司越要这样。 IC设计基础(流程、工艺、版图、器件) 1、我们公司的产品是集成电路,请描述一下你对集成电路的认识,列举一些与集成电路相关的内容(如讲清楚模拟、数字、双极型、CMOS、MCU、RISC、CISC、DSP、ASIC、FPGA 等的概念)。(仕兰微面试题目) 2、FPGA和ASIC的概念,他们的区别。(未知)答案:FPGA是可编程ASIC。ASIC:专用集成电路,它是面向专门用途的电路,专门为一个用户设计和制造的。根据一个用户的特定要求,能以低研制成本,短、交货周期供货的全定制,半定制集成电路。与门阵列等其它ASIC(Application Specific IC)相比,它们又具有设计开发周期短、设计制造成本低、开发工具先进、标准产品无需测试、质量稳定以及可实时在线检验等优点 22、什么是NMOS、PMOS、CMOS?什么是增强型、耗尽型?什么是PNP、NPN?他们有什么差别?(仕兰微面试题目) 23、硅栅COMS工艺中N阱中做的是P管还是N管,N阱的阱电位的连接有什么要求?(仕兰微面试题目) 30、寄生效应在ic设计中怎样加以克服和利用。(未知) _________________________________________ 单片机、MCU、计算机原理 目) 4、PCI总线的含义是什么?PCI总线的主要特点是什么?(仕兰微面试题目) 5、中断的概念?简述中断的过程。(仕兰微面试题目) 6、如单片机中断几个/类型,编中断程序注意什么问题;(未知) 9、What is PC Chipset? (扬智电子笔试) 芯片组(Chipset)是主板的核心组成部分,按照在主板上的排列位置的不同,通常分为北桥芯片和南桥芯片。北桥芯片提供对CPU的类型和主频、内存的类型和最大容量、

FPGA基本设计流程资料

FPGA基本设计流程 首先建立工作库目录,以便设计工程项目的存储。注意不要将文件夹 1 建立工作库文件夹和编辑设计文件 1.1 新建一个文件夹(注意文件夹不能用中文,也不要用数字) 任何一项设计都是一项工程(Project),都必须首先为此工程建立一个放置与此工程相关的所有设计文件的文件夹。此文件夹将被EDA软件默认为工作库(Work Library)。一般,不同的设计项目最好放在不同的文件夹中,而同一工程的所有文件都必须放在同一文件夹中。在建立了文件夹后就可以将设计文件通过Quartus II的文本编辑器编辑并存盘,这里新建文件夹在E盘中,取名为 jsq,则其路径是e:\jsq。步骤如下: 1.2 源程序输入 打开计算机桌面上图表,选择菜单File→New,出现如图1所示见面,在New窗口Device Design Files中选择编译文件的语言类型,这里选择VHDL File,选好后用鼠标左键点击OK,出现源程序输入窗口如图2所示(以十进制为例)。 图1 选择编译文件的语言类型

图2 源程序输入窗口 源程序 LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; ENTITY CNT10 IS PORT (CLK,RST,EN : IN STD_LOGIC; CQ : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); COUT : OUT STD_LOGIC ); END CNT10; ARCHITECTURE behav OF CNT10 IS BEGIN PROCESS(CLK, RST, EN) VARIABLE CQI : STD_LOGIC_VECTOR(3 DOWNTO 0); BEGIN IF RST = '1' THEN CQI := (OTHERS =>'0') ; --计数器异步复位 ELSIF CLK'EVENT AND CLK='1' THEN --检测时钟上升沿 IF EN = '1' THEN --检测是否允许计数(同步使能) IF CQI < 9 THEN CQI := CQI + 1; --允许计数, 检测是否小于9 ELSE CQI := (OTHERS =>'0'); --大于9,计数值清零 END IF; END IF; END IF; IF CQI = 9 THEN COUT <= '1'; --计数大于9,输出进位信号 ELSE COUT <= '0'; END IF;

FPGA设计流程

基于多种 EDA工具的FPGA设计流程 发表时间:2008-6-30 蒋昊李哲英来源:万方数据 关键字:FPGA EDA CPU 信息化应用调查在线投稿加入收藏发表评论好文推荐打印文本 本文介绍了FPGA的完整设计流程,其中包括电路设计与输入、功能仿真、综合优化、综合后仿真、实现与布局布线、时序仿真、板级仿真与脸证、调试与加载配置等主要步珠。并通过一个8-bit RISC CPU的设计来例系统地介绍了利用多种EDA工具进行 FPGA协同设计的实现原理及方法 近年来,随着微电子学的迅速发展以及SoC(System on Chip,片上系统)技术在设计领域引起的深刻变革, EDA(Electornic Design Automatic,电子设计自动化)工具在系统设计中的地位愈发重要。特别是20世纪90年代后,电子系统已经由电路板级发展为ASIC(Application SpecificIntegrated Circuit,专用集成电路),FPGA(Field Porgrammable Gate Array,现场可编程门阵列)以及嵌人式系统等多种模式,其中FPGA设计正是当前数字系统设计领域中的重要方式之一。 本文以Altera公司的FPGA为目标器件,通过一个8-bit RISC CPU的设计实例,系统地介绍了FPGA的完整设计流程以及开发过程中用到的多种EDA工具,包括Modelsim,Synplify,QuatrusII,并重点说明如何使用这些EDA工具进行协同设计。 1FPGA的设计流程 一般来说,完整的FPGA设计流程包括电路设计与输人、功能仿真、综合优化、综合后仿真、实现与布局布线、时序仿真、板级仿真与验证、调试与加载配置等主要步骤,如图1所示。电路设计与输人是指通过某些规范的描述方式,将工程师的电路构思输人给EDA工具,常用的设计方法有HDL(Hardwaer Description Language,硬件描述语言)设计输人法与原理图设计输人法。目前进行大型工程设计时,最常用的设计方法是HDL设计输人法,它利于自顶向下设计以及模块的划分与复用,可移植性和通用性好,设计不因芯片的工艺与结构的不同而变化,更利于向ASIC移植。 电路设计完成后,要用专用的仿真工具对设计进行功能仿真(FunctionalSimulation),验证电路功能是否符合设计要求。功能仿真又称前仿真(Per-Simulation)。通过仿真能及时发现设计中的错误,加快设计进度,提高设计的可靠性。综合(Synthesis)优化是指将HDL语言、原理图等设计输人翻译成由基本门、RAM、触发器等基本逻辑单元组成的逻辑网表,并根据目标与要求(约束条件)优化所生成的逻辑网表,输出标准格式的网表文件,供FPGA厂商的布局布线器进行实现。综合后仿真(Post Synthesis Simulation)的作用是检查综合出的结果与原设计是否一致。作综合后仿真时,要把综合生成的标准延时格式SDF(Standard Dela Format)文件反标注到综合仿真模型中去,可估计门延时带来的影响。综合后仿真虽然比功能仿真精确一些,但是只能估计门延时,不能估计线延时,仿真结果与布线后的实际情况还有一定

FPGA开发设计流程和功能实现

FPGA设计流程与功能实现 前言 本部门所承担的FPGA设计任务主要是两方面的作用:系统的原型实现和ASIC的原型验证。编写本流程的目的是: ●在于规范整个设计流程,实现开发的合理性、一致性、高效性。 ●形成风格良好和完整的文档。 ●实现在FPGA不同厂家之间以及从FPGA到ASIC的顺利移植。 ●便于新员工快速掌握本部门FPGA的设计流程。 由于目前所用到的FPGA器件以Altera的为主,所以下面的例子也以Altera为例,工具组合为modelsim + LeonardoSpectrum/FPGACompilerII + Quartus,但原则和方法对于其他厂家和工具也是基本适用的。

目录 1. 基于HDL的FPGA设计流程概述 (1) 1.1 设计流程图 (1) 1.2 关键步骤的实现 (2) 1.2.1 功能仿真 (2) 1.2.2 逻辑综合 (2) 1.2.3 前仿真 (3) 1.2.4 布局布线 (3) 1.2.5 后仿真(时序仿真) (4) 2. Verilog HDL设计 (4) 2.1 编程风格(Coding Style)要求 (4) 2.1.1 文件 (4) 2.1.2 大小写 (5) 2.1.3 标识符 (5) 2.1.4 参数化设计 (5) 2.1.5 空行和空格 (5) 2.1.6 对齐和缩进 (5) 2.1.7 注释 (5) 2.1.8 参考C语言的资料 (5) 2.1.9 可视化设计方法 (6) 2.2 可综合设计 (6) 2.3 设计目录 (6) 3. 逻辑仿真 (6) 3.1 测试程序(test bench) (7) 3.2 使用预编译库 (7) 4. 逻辑综合 (8) 4.1 逻辑综合的一些原则 (8) 4.1.1 关于LeonardoSpectrum (8) 4.1.1 大规模设计的综合 (8) 4.1.3 必须重视工具产生的警告信息 (8) 4.2 调用模块的黑盒子(Black box)方法 (8) 参考 (10) 修订纪录 (10)

华为FPGA设计高级技巧(Xilinx篇)

共62页 产品名称Xilinx 篇 yyyy/mm/dd 日期 2001/09/15 日期深圳市华为技术有限公司 版权所有 不得复制

修订记录 内部公开请输入文档编号 FPGA 设计高级技巧

目 录 41 4.3 减少关键路径的逻辑级数.............................................404.2IF 语句和Case 语句揭开 逻辑级数未 变 速度更快 SRL VirtexII Xilinx 篇

62 6.3.3 专有资源的利用................................................ 616.3.2 Distributed RAM 代替通道计数器...................................616.3.1 Distributed RAM 代替BlockRAM ....................................616.3 如何降低芯片面积..................................................616.2.9 迂回策略为关键路径腾挪空间 进行位置约束..................................... 616.2.7 关键路径单独综合 ....................................... 616.2.5 专有资源的利用................................................ 616.2.4 基本设计技巧..................................................616.2.3 采用BUFGS ...................................................616.2.2 对线延时比较大的net TIG 和Multi-Cycle-Path ................... 606.2 如何提高芯片速度.................................................. 606.1 可能成为关键路径的电路.............................................606 综合运用..............................................................605.4 TimingAnalyzer 的作用...............................................595.3 FloorPlanner 的作用..................................................595.2 FPGA Editor 的作用..................................................595.1.3 正确看待map 之后的资源占用报告..................................585.1.2 布局布线策略 设计方案阶段对关键电路的处理....................... 585.1 布局布线.......................................................... 585 如何使用后端工具.......................................................574.16 LFSR 加1计数器...................................................574.15 SRL 的使用.......................................................574.14 Block SelectRAM 的使用.............................................564.13 Distributed RAM 的使用.............................................554.12 高效利用IOB ......................................................544.11 利用LUT 四输入特点 减少扇出 巧妙地延时................................ 49 4.7 组合逻辑和时序逻辑分离............................................. 474.6 流水线................................................464.5.4 综合工具与资源共享............................................464.5.3 子表达式共享..................................................454.5.2 loop 语句......................................................444.5.1 if 语句........................................................444.5 资源共享..........................................................434.4 合并if 语句 赋予关键路径最高优先级............................ 内部公开请输入文档编号 FPGA 设计高级技巧

华为内部硬件开发设计流程

2007年,以2年的工作经验去一家小公司去面试。当时笔试完,对方对我很认可。但当时他说:“我需要招一个,在大公司待过的,最好知道硬件开发流程和规范的。虽然你题答得不错,但是我们需要一个有丰富经验的,最好在华为待过的。” 当时,我就在想“华为的规范和流程是啥样的”。后来我去了华为,我把能想到的华为硬件开发的几个不一样的点,跟大家分享一下。 NO.1 文档,评审,设计 当时刚入职时,三个人做一个电路板。虽然电路复杂一些,还是有一些人力过剩的。所以,我就被安排去写一个PCI转UART的逻辑。 我当时是新员工,也急于表现自己,利用周末的时间,估计用了一周的时间,就写完代码,开始仿真了。我以为我的导师兼主管会表扬一下,结果没有,他说:“你为什么没有召集大家讨论?然后再写方案,评审?然后再动手写代码?”我当时是不理解的,觉得我一个人就搞定的事情,为啥要这样劳师动众? 后来反思过后发现了以下问题: 第一、从主管的角度,不知道新员工的个人能力,你能把做的事情讲清楚了,他才放心。第二、从公司的角度,有一套流程来保证项目的交付。那么则不再太依赖某个人的个人能力,任何一个人的离职,都不会影响项目的交付。这也是华为最了不起的地方,把复杂的项目拆得非常细碎,这样不需要特别牛的人来交付项目。这是为什么华为的工程师的收入是思科的N分之一。 第三、从效果角度,毕竟一个人的想法是有限的,把想法文档化的过程,就是整理思路的过程;讨论的过程,就是收集你自己没有想到的过程。正式的评审,是大家达成意见的过程。提前讨论,让相关的人都参与到你的设计中,总比你设计完了,被别人指出一个致命的问题要强得多。 就是因为华为把一项工作拆散了,所以沟通,文档,评审,讨论,变得非常重要。这个工作模式的缺点,也是显而易见,沟通成本高,工作效率低。 NO.2 硬件领域的人员构成 在华为内部里面,人员角色非常多。硬件的人是对产品开发阶段,端到端负责的。做单板硬件工程师,可以涉猎最多的领域,同时也是工作内容最杂,接触人最多,扯皮的最多的工种。 但是也因为有人专门负责画PCB、EMC、电源、逻辑,原本硬件工程师应该做的领域。那么硬件工程师就武功尽废,变成“连连线”。 其实不然,正是由于每个人都是一个小的领域,没有人统领,所以一个好的硬件经理的作用非常的重要,是贯穿所有领域和全部流程的关键角色。正如原来华为内部论坛上有一个人比喻的,硬件工程师更像是处理器里面的“Cache”,是所有环节的中转站。大公司把人的分工分的这么细,也是防止某一拨掌握了太多公司的核心技术,出去单搞了。 NO.3 华为的流程

FPGA 的设计开发流程主要包括以下步骤

FPGA的设计开发流程主要包括以下步骤:设计输入( Design Entry )、仿真验证( Verification )、综合( Synthesis )及布局布线( Place & Route)和比特流生成。 在简单的 FPGA 设计中,设计输入就是使用硬件描述语言编写 RTL 的过程,虽然还有一些基于状态图、真值表、流程图、方框图的设计输入方法,现在基本已经被淘汰。硬件描述语言最重要的是 Verilog / SystemVerilog,其次是VHDL 。目前基于 VHDL 的设计越来越少。 SystemVerilog 是 VHDL 和 Verilog 合并后产生的新语言,是它们的继承和发展。对于初学者,学习 SystemVerilog 就够了。设计输入编辑工具有很多, ModelSim 、Visual HDL 、 ActiveHDL 、ISE 、Quartus II 都有针对 HDL 的编辑工具,也有些人使用支持 Verilog 语法高亮的 UltraEdit 。 ActiveHDL 提供 HDL 语法高亮显示、自动产生文本结构、自动格式化文本等非常有益的文本编辑浏览特性,在国内很受欢迎。对于设计输入,核心的问题是有三个:(1)熟练使用 HDL 语言(2)准确的把握要完成的设计功能及其性能指标;(3)充分理解常见的设计思想,保证设计功能和性能指标的恰当表达。 基于HDL的设计输入的缺点是效率低下,不能满足复杂设计快速实现的要求,其优点是与电路结构紧密联系,能够清晰的表达跨时终域、延迟、逻辑工程和比特存储功能。近年来,基于 C / SystemC 语言的算法综合和系统级综合技术发展迅速。用户只需使用 C / SystemC 描述目标设计,工具就能够自动完成 C / SystemC 描述到 RTL 描述的综合。这种新技术在航空、航天、军工等领域广泛使用,主要用于运算加速。目前比较成功的 C / SystemC 描述到 RTL 描述的综合的软件有 CoDeveloper ( Impulse C )、 Catapult C 等。我们也在研发一种称作 ESLFlex 的国产综合软件。 ESLFlex 与 CoDeveloper ( Impulse C )、Catapult C 等的区别是: ESLFlex 是一种系统级综合工具,在系统级综合领域有一些独特的创新,从SystemC 非定时模型得到异构多核SoC ,而CoDeveloper ( Impulse C )、Catapult C 是算法综合工具,综合的结果是一个算法加速IP。 设计输入的另外一个重要技能是学会使用 FPGA 厂商提供的设计库,里面有大量可根据应用定制的专门单元,如 FIFO 、SRAM 、差分IO 、 DLL 等。 仿真验证是 FPGA 开发的第二个步骤,目的是验证所编写的 HDL 或者高层次综合得到的 HDL 的功能正确性,即是否与预定的功能相符。这时需要使用SystemVerilog 或者 SystemC 编写 Testbench,以产生 RTL 设计的激励,并对RTL 的输出进行分析。简单的设计使用 SystemVerilog 编写 Testbench 即可,对于复杂的设计以及软硬件结合的设计,使用 SystemC 更加方便。验证的最基本方法是仿真。仿真包括功能仿真和时序仿真。其中,功能仿真在布局布线之前,检查设计输入的正确性;时序仿真在布局布线之后,主要检查时序的收敛性,综合结果与功能仿真的不一致性。常见的仿真工具有 ModelSim 、 ActiveHDL 等。仿真工具都支持 SystemVerilog 、 SystemC 和 VHDL ,也支持这些语言混合在一起的设计。对于一些小的设计,主要是肉眼观察仿真结果是否与预期相符,对于一个复杂的大设计,要首先验证每一个子模块的功能正确性,对于整个大设计,

集成电路技术十年发展

集成电路技术十年发展 2012-11-27 17:06:17 清华大学教授、微电子学研究所所长魏少军 一、总体情况 集成电路产业是关系国民经济和社会发展全局的基础性、先导性和战略性产业,是电子信息产业的核心,是关系到国家经济社会安全、国防建设极其重要的基础产业。 集成电路产业的竞争力已经成为衡量国家间经济和信息产业可持续发展水平的重要标志,是世界各先进技术国抢占经济科技制高点、提升综合国力的重要领域。 新世纪以来,我国的集成电路科技与产业在国务院国发2000(18号)文件和各级地方政府的持续支持下,获得了长足进步,取得了一系列重要成果: (一)集成电路产业链格局日渐完善 中国集成电路产业结构逐步由小而全的综合制造模式逐步走向设计、制造、封装测试三业并举,各自相对独立发展的格局。目前,中国集成电路产业已经形成了集 成电路设计、芯片制造、封装测试及支撑配套业共同发展的较为完善的产业链格局。 (二)集成电路设计产业群聚效应日益凸现 以上海为中心的长江三角洲地区、以北京为中心的环渤海地区以及以深圳为中 心的珠江三角洲地区已经成为国内集成电路产业集中分布的区域。全国集成电路设计、 制造和封装产业90%以上的销售收入集中于以上三个地区。其中,包括上海、江苏和浙江的长江三角洲地区是国内最主要的集成电路制造基地,在国内集成电路产业中占有重要 地位 (三)集成电路设计技术水平显着提高

国内集成电路设计企业的技术开发实力也有显着的提高,已经取得多项掌握核心技术的研发成果。2000年以来,“申威”高性能CPU、“龙芯”和“众志”桌面计算机用CPU、苏州国芯C*Core和杭州中天CK-Core嵌入式CPUIP核、智能卡集成电路芯片、第二代居民身份证专用芯片、自主高清电视(HDTV)标准和自主音视频标准AVS芯片、华为网络通讯交换装备核心系统芯片、大唐电信COMIPTM和展讯移动通信终端SoC、超大规模集成电路制造工艺、智能卡芯片专用工艺及高压特色工艺等技术和产品都取得了重要成果,大部分成果取得了产品化和产业化的重大进展,并获得国家科技进步奖励。 (四)人才培养和引进开始显现成果 集成电路是知识密集型的高技术产业,其持续、快速、健康的发展需要大量高水平的人才。但是,人才匮乏,人员流失严重却一直是困扰我国集成电路科技和产业发展的主要问题之一。为扭转这一局面,加大集成电路专业人才的培养力度,2003年国务院科教领导小组批准实施国家科技重大专项——集成电路与软件重大专项,并实施了“国家集成电路人才培养基地”计划。随后教育部、科技部批准建设国家集成电路人才培养基地。 二、集成电路设计 集成电路设计业是包括中国在内的全球整个集成电路产业中最为活跃的部分。集成电路设计企业在新兴产品的开发上扮演着关键作用。在中央处理器(CPU)、数字信号处理器(DSP)、半导体存储器、可编程逻辑阵列(FPGA)、专用集成电路(ASIC)和系统芯片(SoC)等主流产品领域,都可以发现集成电路设计企业的身影。在过去的十年间,我国集成电路设计业在CPU、智能卡专用芯片、3G通信芯片、数字电视芯片、第二代居民身份证芯片等领域取得了令人瞩目的成果。 (一)自主知识产权CPU CPU被誉为电子信息产品的心脏,是集成电路产品的制高点。十年间,我国在超级计算机用高性能CPU、桌面计算机/服务器CPU和嵌入式CPU领域取得了一系列重要突破,部分产品达到国际领先水平,极大地提高了我国在CPU领域的科技水平和支撑电子信息产业发展的能力。

华为FPGA设计流程指南

华为FPGA设计流程指南 前言 本部门所承担的FPGA设计任务要紧是两方面的作用:系统的原型实现和ASIC的原型验证。编写本流程的目的是: ●在于规范整个设计流程,实现开发的合理性、一致性、高 效性。 ●形成风格良好和完整的文档。 ●实现在FPGA不同厂家之间以及从FPGA到ASIC的顺利 移植。 ●便于新职员快速把握本部门FPGA的设计流程。 由于目前所用到的FPGA器件以Altera的为主,因此下面的例子也以Altera为例,工具组合为modelsim +

LeonardoSpectrum/FPGACompilerII + Quartus,但原则和方法关于其他厂家和工具也是差不多适用的。

目录 1. 基于HDL的FPGA设计流程概述 (1) 1.1 设计流程图 (1) 1.2 关键步骤的实现 (2) 1.2.1 功能仿真 (2) 1.2.2 逻辑综合 (2) 1.2.3 前仿真 (3) 1.2.4 布局布线 (3) 1.2.5 后仿真(时序仿真) (4) 2. Verilog HDL设计 (4) 2.1 编程风格(Coding Style)要求 (4) 2.1.1 文件 (4) 2.1.2 大小写 (5) 2.1.3 标识符 (5) 2.1.4 参数化设计 (5) 2.1.5 空行和空格 (5) 2.1.6 对齐和缩进 (5) 2.1.7 注释 (5) 2.1.8 参考C语言的资料 (5) 2.1.9 可视化设计方法 (6) 2.2 可综合设计 (6)

2.3 设计名目 (6) 3. 逻辑仿真 (6) 3.1 测试程序(test bench) (7) 3.2 使用预编译库 (7) 4. 逻辑综合 (8) 4.1 逻辑综合的一些原则 (8) 4.1.1 关于LeonardoSpectrum (8) 4.1.1 大规模设计的综合 (8) 4.1.3 必须重视工具产生的警告信息 (8) 4.2 调用模块的黑盒子(Black box)方法 (8) 参考 (10) 修订纪录 (10)

FPGA设计流程指南模板

FPGA设计流程指南模板 1

FPGA设计流程指南 前言 本部门所承担的FPGA设计任务主要是两方面的作用: 系统的原型实现和ASIC的原型验证。编写本流程的目的是: ●在于规范整个设计流程, 实现开发的合理性、一致性、高 效性。 ●形成风格良好和完整的文档。 ●实现在FPGA不同厂家之间以及从FPGA到ASIC的顺利移 植。 ●便于新员工快速掌握本部门FPGA的设计流程。 由于当前所用到的FPGA器件以Altera的为主, 因此下面的例子也以Altera为例, 工具组合为modelsim + LeonardoSpectrum/FPGACompilerII + Quartus, 但原则和方法对于其它厂家和工具也是基本适用的。 2

3

目录 1. 基于HDL的FPGA设计流程概述 (1) 1.1 设计流程图 (1) 1.2 关键步骤的实现 (2) 1.2.1 功能仿真 (2) 1.2.2 逻辑综合 (2) 1.2.3 前仿真 (3) 1.2.4 布局布线 (3) 1.2.5 后仿真( 时序仿真) (4) 2. Verilog HDL设计 (4) 2.1 编程风格( Coding Style) 要求 (4) 2.1.1 文件 (4) 2.1.2 大小写 (5) 2.1.3 标识符 (5) 2.1.4 参数化设计 (5) 2.1.5 空行和空格 (5) 2.1.6 对齐和缩进 (5) 2.1.7 注释 (5) 2.1.8 参考C语言的资料 (5) 2.1.9 可视化设计方法 (6) 2.2 可综合设计 (6) 4

2.3 设计目录 (6) 3. 逻辑仿真 (6) 3.1 测试程序( test bench) (7) 3.2 使用预编译库 (7) 4. 逻辑综合 (8) 4.1 逻辑综合的一些原则 (8) 4.1.1 关于LeonardoSpectrum (8) 4.1.1 大规模设计的综合 (8) 4.1.3 必须重视工具产生的警告信息 (8) 4.2 调用模块的黑盒子( Black box) 方法 (8) 参考 (10) 修订纪录 (10) 5

fpga设计心得体会

fpga设计心得体会 篇一:fpga设计的几个实例 Verilog HDL设计练习一.简单的组合逻辑设计 练习一.简单的组合逻辑设计 目的: 掌握基本组合逻辑电路的实现方法。 这是一个可综合的数据比较器,很容易看出它的功能是比较数据a与数据b,如果两个数据相同,则给出结果1,否则给出结果0。在Verilog HDL中,描述组合逻辑时常使用assign结构。注意equal=1:0,这是一种在组合逻辑实现分支判断时常使用的格式。模块源代码: " ,.b); 等为模块要连接的信号 endmodule 仿真波形(部分): 练习: 设计一个字节(8位)比较器。 要求:比较两个字节的大小,如a[7:0]大于 b[7:0]输出高电平,否则输出低电平,改写测试模型,使其能进行比较

全面的测试。 练习二. 简单时序逻辑电路的设计 目的:掌握基本时序逻辑电路的实现。 在Verilog HDL中,相对于组合逻辑电路,时序逻辑电路也有规定的表述方式。在可综合的Verilog HDL模型,我们通常使用always块和 @或 @的结构来表述时序逻辑。下面是一个1/2分频器的可综合模型。 lk_in,.clk_out); endmodule 仿真波形: 练习:依然作clk_in的二分频clk_out,要求输出与上例的输出正好反相。编写测试模块,给出仿真波形篇二:fpga设计流程 1. FPGA开发流程:电路设计与设计输入仿真验证:利用Xilinx集成的仿真工具足矣逻辑综合:利用XST工具布局布线:利用Xilinx的Implementation Tool工具 FPGA配置下载:利用iMPACT工具 2. 时序标注文件是指SDF(Standard Delay Format Timing Annotation)文件,在Xilinx公司的FPGA/CPLD设

FPGA设计方案

FPGA课程设计 题目:全天候温度纪录仪的设计与FPGA实现 姓名: 学号: 院系:信息科学与工程学院 专业:计算机技术

摘要 本设计有效的克服了传统的数字温度计的缺点,采用自上而下的设计思路,绘制出了系统结构流程图,最后又在硬件上通过对其进行调试和验证。基于FPGA在Quartus II13.0软件下应用Verilog HDL语言编写程序,采用ALTRA公司Cyclone- IV系列的EP4CE40F23I7 芯片进行了计算机仿真,并给出了相应的仿真结果。该电路能够实现很好的测温功能。 关键字:数字温度计;FPGA;Quartus II130.;Verilog HDL;EP4CE40F2317 Abstract This design effectively overcomes the traditional digital thermometer’s wea knesses and takes a top-down approach to design flow chart of system, and fi nally pass the circuits to the hardware to debug and verify it. This design is b ased on FPGA using Verilog HDL language to write program in Quartus II sof tware, adopting EP4CE40F23I7 chip of Cyclone- IV series of ALTRA company for computer simulation and at the same time showing the corresponding sim ulation result. This circuit is able to carry out excellent temperature- measurem ent function. KeyWords:Digital thermometer;FPGA;Quartus II 13.0;Verilog HDL ;EP4CE40F2317

华为中兴FPGA面试题

1:什么是同步逻辑和异步逻辑? (2) 2:同步电路和异步电路的区别: (2) 3:时序设计的实质: (2) 4:建立时间与保持时间的概念? (2) 5:为什么触发器要满足建立时间和保持时间? (2) 6:什么是亚稳态?为什么两级触发器可以防止亚稳态传播? (3) 7:系统最高速度计算(最快时钟频率)和流水线设计思想: (3) 8:时序约束的概念和基本策略? (3) 9:附加约束的作用? (4) 10:FPGA设计工程师努力的方向: (4) 11:对于多位的异步信号如何进行同步? (4) 12:FPGA和CPLD的区别? (4) 13:锁存器(latch)和触发器(flip-flop)区别? (5) 14:FPGA芯片内有哪两种存储器资源? (5) 15:什么是时钟抖动? (5) 16:FPGA设计中对时钟的使用?(例如分频等) (5) 17:FPGA设计中如何实现同步时序电路的延时? (5) 18:FPGA中可以综合实现为RAM/ROM/CAM的三种资源及其注意事项? (5) 19:什么是"线与"逻辑,要实现它,在硬件特性上有什么具体要求? (6) 20:什么是竞争与冒险现象?怎样判断?如何消除? (6) 21.用Verilog设计一个5分频器。 (6) 22.用状态机检测序列为11001101,输出为1,否则输出为0。 (8) 23.IC设计中同步复位与异步复位的区别 (10) 24.MOORE与MEELEY状态机的特征 (10) 25.画状态机,并用verilog实现接受1,2,5分钱的卖报机,每份报纸5分钱。 (10) 26.FPGA的基本结构 (12) 27.程序下载到FPGA的方式有哪几种,JTAG有哪几条线。 (13) 28.时钟周期为T,触发器D1的建立时间最大为T1max,最小为T1min。组合逻辑电路最大延迟为T2max,最小为T2min。问:触发器D2的建立时间T3和保持时间T4应满足什么条件? (14)

相关文档
相关文档 最新文档