文档库 最新最全的文档下载
当前位置:文档库 › 北邮数字逻辑期中试题及参考答案

北邮数字逻辑期中试题及参考答案

北邮数字逻辑期中试题及参考答案
北邮数字逻辑期中试题及参考答案

北京邮电大学

《数字电路与逻辑设计》期中考试试题 2015.4.11

班级姓名班内序号

注意:所有答案(包括选择题和计算题)一律写在试卷纸上,如果卷面位置不够,请写在试卷的背后,否则不计成绩。

一、(每题1分,共20分)判断(填√或×)、单项选择题

(请先在本试卷上答题之后,将全部答案汇总到本题末尾的表格中。)

1.ECL逻辑门与TTL门相比,主要优点是抗干扰能力强。(╳)2.CMOS门电路在使用时允许输入端悬空,并且悬空的输入端相当于输入逻辑“1”。( ╳ )

3.若对4位二进制码(B

3B

2

B

1

B

)进行奇校验编码,则校验位C= B

3

⊕B

2

⊕B

1

⊕B

⊕1。

(√)

4.根据表1-1,用CMOS4000系列的逻辑门驱动TTL74系列的逻辑门,驱动门与负载门之间的电平匹配不存在问题(√)

5. 根据表1-1,用CMOS4000系列的逻辑门驱动TTL74系列的逻辑门,驱动门与负载门之间的电流驱动能力不存在问题(╳)

表1-1常用的TTL和CMOS门的典型参数

6.当i j ≠时,必有两个最小项之和+0i j m m =。(╳)

7. CMOS 门电路的静态功耗很低,但在输入信号动态转换时会有较大的电流,工作频率越高,静态功耗越大。(╳) 8. 逻辑函数的表达式是不唯一的,但其标准的最小项之和的表达式是唯一的。(√)

9.用数据分配器加上门电路可以实现任意的逻辑函数。( √ )

10.格雷BCD 码具有单位距离特性(任意两个相邻的编码之间仅有一位不同)且是无权代码。(√)

11.关于函数F A C BCD AB C =++g ,下列说法中正确的有 B 。 A. 不存在冒险;

B. 存在静态逻辑冒险,需要加冗余项ABD 和ACD 进行消除;

C. 存在静态功能冒险,需要加冗余项ABD 和ACD 进行消除;

D. 当输入ABCD 从 0001→0100变化时存在静态逻辑冒险。 12.逻辑函数F=A ⊕B 和G=A ⊙B 满足关系 D 。 A.F G =

B.0F G +=

C.1F G =g

D.0F G =e

13.若逻辑函数∑=)6,3,2,1(),,(m C B A F ,∑=)7,5,4,3,2,0(),,(m C B A G ,则

=?G F A 。

A.32m m +

B.1

C.AB

D.AB

14.若干个具有三态输出的电路输出端接到一点工作时,必须保证 B 。

A.任何时刻最多只能有一个电路处于高阻态,其余应处于工作态。

B.任何时刻最多只能有一个电路处于工作态,其余应处于高阻态。

C.任何时刻至少有一个电路处于高阻态,其余应处于工作态。

D.任何时刻至少有一个电路处于工作态,其余应处于高阻态。 15.可以用来传输连续变化的模拟信号的电路是 D 。

A. 三态输出的门电路。;

B. 漏极开路的CMOS 门电路;

C. ECL 门电路;

D. CMOS 传输门

16.逻辑表达式[()]F AB C D E B =++?的对偶式为 B 。

A . [()]F C E

B =?+?+ B. ()F

C E B =?+?+ C. ()F A B C

D

E B =++?+ D. [()]

F AB C D E B =++? 17.下列说法中正确的是 D 。

A .三态门的输出端可以直接并联,实现线或逻辑功能。 B. OC 门的输出端可以直接并联,实现线或逻辑功能。 C .OD 门的输出端可以直接并联,实现线或逻辑功能。 D .ECL 门的输出端可以直接并联,实现线或逻辑功能。

18.某集成电路芯片,查手册知其最大输出低电平U OLmax =0.5V ,最大输入低电平U ILmax =0.8V ,最小输出高电平U OHmin =2.7V ,最小输入高电平U IHmin =2.0V ,则其低电平噪声容限U NL 和高电平噪声容限U NH 分别是 C 。

A. 1.5V 、2.2V

B.2.2V 、1.2V

C. 0.3V 、0.7V

D.1.9V 、1.5V 19.下列说法中不属于组合电路的特点的是 C 。 A. 组合电路由逻辑门构成; B. 组合电路不含记忆存储单元; C. 组合电路的输出到输入有反馈回路;

D.任何时刻组合电路的输出只与当时的输入有关,而与电路过去的输入无关。 20.在图1-1的CMOS 门电路中,输出为高电平的有 D 。

V

A. B. C. D. 图1-1

二、(共12分)器件的内部电路如图2-1所示,A ,B 为输入,F 为输出。(1),写出L 、M 、N 、O 、F 点与输入A 、B 间的相对逻辑关系表达式。(2),画出该器件的符号。 解:

(1)L=AB M=CD

N AB CD =+ O A B

C =+

F N O AB CD ===+

(10分)

图2-1

(2)

(2分)

三、(10分) 请用最少的或非门设计一个检出8421BCD 码能被4整除的逻辑电路(输入变量为ABCD ,且提供反变量):

(1)根据功能需求完成表3-1真值表的填写;

(2)并写出该函数的标准与或表达式(使用;F =∑ +∑ 形式); (3)将真值表填入图3-1的卡诺图,并用卡诺图法简化为最简或与式; (4)用或非门实现该函数,画出逻辑图。

表3-1

图3-1

解:真值表(2分)

(0,4,8)(10,11,12,13,14,15)F m ?=∑+∑ (2分)

(2分)

F D C =?(2分)

F

(2分)

四、(10分)请用代数法化简函数()F AB A B ABD C D E F =+⊕+++e 为最简与或表达式,画出实现此逻辑函数的最简CMOS 电路。

S A C B S S +A 2A 1A 07

Y 6Y 5Y 4Y 3Y 2Y 1Y 0Y 111000000001010011101110111111111111111111111111111011111????????

解:

()()F AB A B ABD C D E F AB AB AB A B D C D E F B AB A B A B

=+⊕+++=+++++++=+=+=?e e

(化简8分,图2分)

五、(10分)TTL 门构成的电路如图5-1所示,请给电阻R L 选择合适的阻值。已知OC 门输出管截止时的漏电流为I OH =200μA ,OC 门输出管导通时允许的最大负载电流为I OLmax =16mA ;负载门的低电平输入电流为I IL =1mA ,高电平输入电流为I IH =40μA , V CC ′=5V ,要求OC 门的输出高电平V OH ≥3.0V ,输出低电平V OL ≤0.4V 。 解:

n=2 m=7 m′=4

图5-1 (5分/个)

六、(20分)求函数F=(A+B )(B+C )(A+C )的标准与或表达式,并分别用译码器74LS138(输出低电平有效,功能表见6-1)、数据选择器75LS153(功能表见6-2)、数据分配器74LS155(功能表见6-3)和最少的门电路实现此函数(输入不提供反变量,在图6-1所给的符号图上完成) 。

表6-1 74LS138功能表 表6-2

74LS153功能表

min 'CC OH L OH IH V V R nI mI -≤+''max CC OL L OL IL V V R I m I -≥-min '53 2.9420.270.04CC OH L OH IH V V R K nI mI --≤==Ω

+?+?max '50.4

0.381641

CC OL L OL IL V V R K I m I --≥

=≈Ω

'--?ST A 1 A 0D 3-D 0

Y 1???0

表6-3 74LS155功能表

EN

74LS138&

A 1

A 2

A A S

B S C

S 0Y 1Y 2Y 3Y 4Y 5Y 6Y 7

Y B

C

C

图6-1 解:

F=(A+B )(B+C )(A+C ) =BC A C B A ABC C AB +++ =∑m (3,5,6,7)(2分)

18分)

七、(10分)逻辑函数(,,,)F A B C D ABD A CD AB C D =++??。已知该函数的约束条件为0A B C ABCD ??+=, (1)将逻辑函数及约束条件填入图7-1,利用卡诺图将函数化简为最简与或表达式;(2)将逻辑函数及约束条件填入图7-2,利用卡诺图简化为最简与或非表达式。 AB CD 0001

010011

10

1110

AB CD 0001

010011

10

1110

图7-1 图7-2 解:

(1)

AB CD 0001010011101110

11

1

1??

?

00000

00(2分)

F A D B C D =?+??(3分) (2)

a 3>

b 3a 3 b 3a 0 b 0a 1 b 1a 2 b 2A>B A

输 出

比 较 输 入级联输入'''E S G a 3b 2???a 1>b 1a 1b 0a 0

1100000000000000000

00

000

0?????

??????????????????AB CD 0001010011101110

11

1

1??

?

00000

00(2分)

F AB AC D =++(3分)

八、(8分)由四位数码比较器7485(功能表见表8-1)和四位加法器74283构成的电路如图8-1所示,若输入为2421BCD 码,(1)在真值表8-2中完成输出的填写;(2)说明该电路完成什么编码的转换。

表8-1 7485的功能表

I

I

I

I Y3

Y2

Y1

Y0

图8-1

解:

(1)(5分)

(2)2421BCD----8421BCD(3分)

数字逻辑电路期末考试试卷及答案

期末考试试题(答案) 考试科目:数字逻辑电路 试卷类别:3卷 考试时间:110 分钟 XXXX 学院 ______________系 级 班 姓名 学号 题号 一 二 三 四 总分 得分 一、选择题(每小题2分,共20分) 1. 八进制(273)8中,它的第三位数2 的位权为___B___。 A .(128)10 B .(64)10 C .(256)10 D .(8)10 2. 已知逻辑表达式C B C A AB F ++=,与它功能相等的函数表达式_____B____。 A .A B F = B . C AB F += C .C A AB F += D . C B AB F += 3. 数字系统中,采用____C____可以将减法运算转化为加法运算。 A . 原码 B .ASCII 码 C . 补码 D . BCD 码 4.对于如图所示波形,其反映的逻辑关系是___B_____。 A .与关系 B . 异或关系 C .同或关系 D .无法判断 5. 连续异或1985个1的结果是____B_____。 A .0 B .1 C .不确定 D .逻辑概念错误 得分 评卷人 装 订 线 内 请 勿 答 题

6. 与逻辑函数D C B A F+ + + =功能相等的表达式为___C_____。 D C B A F+ + + =B.D C B A F+ + + = D.D C B A F+ + = 7.下列所给三态门中,能实现C=0时,F=AB;C=1时,F为高阻态的逻辑功能的是____A______。 8. 如图所示电路,若输入CP脉冲的频率为100KHZ,则输出Q的频率为 _____D_____。 A.500KHz B.200KHz C.100KHz D.50KHz 9.下列器件中,属于时序部件的是_____A_____。 A.计数器B.译码器C.加法器D.多路选择器 装

数字逻辑设计试题中文+答案

2003数字逻辑考题 一 填空题 (每空1分,共15分) 1 [19]10=[ 11010 ]Gray (假设字长为5bit ) 2 若X=+1010,则[X]原=( 00001010 ),[-X]补=( 11110110 ),(假设字长为8bit ) 3 [26.125]10=[ 1A.2 ]16=[ 00100110.000100100101 ]8421BCD 4 65进制的同步计数器至少有( 7 )个计数输出端。 5 用移位寄存器产生11101000序列,至少需要( 3 )个触发器。 6 要使JK 触发器按'*Q Q =工作,则JK 触发器的激励方程应写为(1,1 );如果用D 触发器实现这一转换关系,则D 触发器的激励方程应写为( Q ’ )。 7 在最简状态分配中,若状态数为n ,则所需的最小状态变量数应为([log 2n] )。 8 有n 个逻辑变量A ,B ,C ….W ,若这n 个变量中含1的个数为奇数个,则这n 个变量相异或的结果应为( 1 )。 9 一个256x4bit 的ROM 最多能实现( 4 )个( 8 )输入的组合逻辑函数。 10 一个EPROM 有18条地址输入线,其内部存储单元有( 218 )个。 11 所示CMOS 电路如图Fig.1,其实现的逻辑函数为F=( A NAND B (AB)' ) (正逻辑)。 二 判断题 (每问2分,共10分) 1 ( T )计数模为2n 的扭环计数器所需的触发器为n 个。 2 ( F )若逻辑方程AB=AC 成立,则B=C 成立。 3 ( F )一个逻辑函数的全部最小项之积恒等于1。 4 ( T )CMOS 与非门的未用输入端应连在高电平上。 5 ( F )Mealy 型时序电路的输出只与当前的外部输入有关。 Fig.1 三 (16分) 1 化简下列函数(共6分,每题3分) 1) ()()∑=15,13,11,10,9,8,7,3,2,0,,,m D C B A F 2) ()()()∑∑+=14,5,3,013,12,10,8,6,1,,,d m D C B A F F +E D

北邮数字逻辑期中试题及参考答案全新

北京邮电大学 《数字电路与逻辑设计》期中考试试题 2015.4.11 班级姓名班内序号 题号一二三四五六七八总成绩 分数20 12 10 10 10 20 10 8 得分 注意:所有答案(包括选择题和计算题)一律写在试卷纸上,如果卷面位置不够,请写在试卷的背后,否则不计成绩。 一、(每题1分,共20分)判断(填√或×)、单项选择题 (请先在本试卷上答题之后,将全部答案汇总到本题末尾的表格中。) 1.ECL逻辑门与TTL门相比,主要优点是抗干扰能力强。(╳)2.CMOS门电路在使用时允许输入端悬空,并且悬空的输入端相当于输入逻辑“1”。( ╳ ) 3.若对4位二进制码(B 3B 2 B 1 B )进行奇校验编码,则校验位C= B 3 ⊕B 2 ⊕B 1 ⊕B ⊕1。 (√) 4.根据表1-1,用CMOS4000系列的逻辑门驱动TTL74系列的逻辑门,驱动门与负载门之间的电平匹配不存在问题(√) 5. 根据表1-1,用CMOS4000系列的逻辑门驱动TTL74系列的逻辑门,驱动门与负载门之间的电流驱动能力不存在问题(╳) 表1-1常用的TTL和CMOS门的典型参数

6.当i j ≠时,必有两个最小项之和+0i j m m =。(╳) 7. CMOS 门电路的静态功耗很低,但在输入信号动态转换时会有较大的电流,工作频率越高,静态功耗越大。(╳) 8. 逻辑函数的表达式是不唯一的,但其标准的最小项之和的表达式是唯一的。(√) 9.用数据分配器加上门电路可以实现任意的逻辑函数。( √ ) 10.格雷BCD 码具有单位距离特性(任意两个相邻的编码之间仅有一位不同)且是无权代码。(√) 11.关于函数F A C BCD AB C =++g ,下列说法中正确的有 B 。 A. 不存在冒险; B. 存在静态逻辑冒险,需要加冗余项ABD 和ACD 进行消除; C. 存在静态功能冒险,需要加冗余项ABD 和ACD 进行消除; D. 当输入ABCD 从 0001→0100变化时存在静态逻辑冒险。 12.逻辑函数F=A ⊕B 和G=A ⊙B 满足关系 D 。 A.F G = B.0F G += C.1F G =g D.0F G =e 13.若逻辑函数∑=)6,3,2,1(),,(m C B A F ,∑=)7,5,4,3,2,0(),,(m C B A G ,则 =?G F A 。 A.32m m + B.1 C.AB D.AB 14.若干个具有三态输出的电路输出端接到一点工作时,必须保证 B 。 A.任何时刻最多只能有一个电路处于高阻态,其余应处于工作态。 B.任何时刻最多只能有一个电路处于工作态,其余应处于高阻态。 C.任何时刻至少有一个电路处于高阻态,其余应处于工作态。 D.任何时刻至少有一个电路处于工作态,其余应处于高阻态。 15.可以用来传输连续变化的模拟信号的电路是 D 。 A. 三态输出的门电路。; B. 漏极开路的CMOS 门电路; C. ECL 门电路; D. CMOS 传输门 16.逻辑表达式[()]F AB C D E B =++?的对偶式为 B 。

数字逻辑 习题与答案.(优选)

习题与答案 《数字逻辑与数字系统(第四版)》,白中英 第1章习题P30 7 证明下列等式 (2) AC AB C AB C B A ABC +=++ 证明: AB AC ABC C AB C B A ABC +=+++=左式 8 用布尔代数简化下列各逻辑函数表达式 (4) C AB C B BC A AC F +++= 解: BC BC BC A C B A BC C B A BC A ABC C B A C B BC A AC F =+=++=+++=++++=) () )(())()(( 9 将下列函数展开为最小项表达式 (1) )(),,(C B A C B A F += 解: ∑=+++++=+++++=+++=+++=+=() 7,6,5,4,1()()()()(),,(m C B A C B A C B A C B A C AB ABC C B A C B A C C B A C C AB C B A C B A B A AB C B A A B B A C B A C B A F 10 用卡诺图化简下列各式 (2) C B A D A B A D C AB CD B A F ++++= 解: C 由卡诺图知,D A B A F +=

(4) ∑∑ +=?)11,10,9,3,2,1()15,14,13,0(),,,(m D C B A F 解: A C AD AC B A F ++= 12 逻辑函数 A C C B B A X ++=,试用真值表、卡诺图、逻辑图、波形图表示该函数。 解:(1)真值表 (2)卡诺图 (3)逻辑图 (4)波形图 14 输入信号A ,B ,C 的波形如图P1.2所示,试画出电路输出F1、F2的波形图 B F C B A

数字逻辑考题及答案

数字逻辑试题1答案 一、填空:(每空1分,共20分) 1、()8 =( )16 2、 10= ( )2 3、(FF )16= ( 255 )10 4、[X]原=,真值X= ,[X]补 = 。 5、[X]反=,[X]补= 。 6、-9/16的补码为,反码为 。 7、已知葛莱码1000,其二进制码为1111, 已知十进制数为92,余三码为1100 0101 8、时序逻辑电路的输出不仅取决于当时的输入,还取决于电路的状态 。 9、逻辑代数的基本运算有三种,它们是_与_ 、_或__、_非_ 。 10、1⊕⊕=B A F ,其最小项之和形式为_ 。AB B A F += 11、RS 触发器的状态方程为_n n Q R S Q +=+1_,约束条件为0=SR 。 12、已知B A F ⊕=1、B A B A F +=2,则两式之间的逻辑关系相等。 13、将触发器的CP 时钟端不连接在一起的时序逻辑电路称之为_异_步时序逻辑电路 。 二、简答题(20分) 1、列出设计同步时序逻辑电路的步骤。(5分) 答:(1)、由实际问题列状态图 (2)、状态化简、编码 (3)、状态转换真值表、驱动表求驱动方程、输出方程 (4)、画逻辑图 (5)、检查自起动 2、化简)(B A B A ABC B A F +++=(5分) 答:0=F 3、分析以下电路,其中RCO 为进位输出。(5分) 答:7进制计数器。 4、下图为PLD 电路,在正确的位置添 * , 设计出B A F ⊕=函数。(5分)

5分 注:答案之一。 三、分析题(30分) 1、分析以下电路,说明电路功能。(10分) 解: ∑∑==) 7,4,2,1()7,6,5,3(m Y m X 2分 A B Ci X Y 0 0 0 0 0 0 1 1 0 1 0 0 1 0 1 1 1 0 1 0 0 0 1 1 0 1 1 0 1 1 0 1 0 1 1 1 1 1 8分 2、分析以下电路,其中X 为控制端,说明电路功能。(10分) 解:XABC C B A X ABC X C B A X C B A X C B A X F ++++?+?= 4分 )()(ABC C B A X C B A X F ++⊕⊕= 4分 所以:X=0 完成判奇功能。 X=1 完成逻辑一致判断功能。 2分

数字逻辑电路期末考试试卷及答案

期末考试试题(答案) 一、选择题(每小题2分,共20分) 1.八进制(273)8中,它的第三位数2 的位权为___B___。 A.(128)10B.(64)10C.(256)10 D.(8)10 2. 已知逻辑表达式C B C A AB F+ + =,与它功能相等的函数表达式 _____B____。 A.AB F=B.C AB F+ = C.C A AB F+ =D.C B AB F+ = 3. 数字系统中,采用____C____可以将减法运算转化为加法运算。 A.原码B.ASCII码C.补码D.BCD码4.对于如图所示波形,其反映的逻辑关系是___B_____。 A.与关系B.异或关系C.同或关系D.无法判断 5.连续异或1985个1的结果是____B_____。 A.0B.1 C.不确定D.逻辑概念错误 6. 与逻辑函数D C B A F+ + + =功能相等的表达式为___C_____。 A.D C B A F+ + + =B.D C B A F+ + + = C.D C B A F=D.D C B A F+ + = 7.下列所给三态门中,能实现C=0时,F=AB;C=1时,F为高阻态的逻辑功能的是____A______。 B A F & ? F B A &

8. 如图所示电路,若输入CP脉冲的频率为100KHZ,则输出Q的频率为_____D_____。 A. 500KHz B.200KHz C. 100KHz D.50KHz 9.下列器件中,属于时序部件的是_____A_____。 A.计数器B.译码器C.加法器D.多路选择器 10.下图是共阴极七段LED数码管显示译码器框图,若要显示字符“5”,则译码器输出a~g应为____C______。 A. 0100100 B.1100011 C. 1011011 D.0011011 二、填空题(每小题2分,共20分) 11.TTL电路的电源是__5__V,高电平1对应的电压范围是__2.4-5____V。 12.N 个输入端的二进制译码器,共有___N2____个输出端。对于每一组输入代码,有____1____个输出端是有效电平。 13.给36个字符编码,至少需要____6______位二进制数。 14.存储12位二进制信息需要___12____个触发器。

数字逻辑试题与答案(独家)

一、单项选择题 1、触发器有两个稳态,存储8位二进制信息要_ A、2 B、8 C、16 D、32 2、下列门电路属于双极型的是_ A、OC门 B、PMOS C、NMOS D、CMOS 3对于钟控RS触发器,若要求其输出“0”状态不变,则输入的RS信号应为_ A、RS=XD B、RS=OX C、RS=X1 D、RS=1X 4、下列逻辑电路中为时序逻辑电路的是_ A、变量译码器 B、加法器 C、数码存储器 D、数据选择器 5、同步时序电路和异步时序电路比较,其差异在于后者_ A、没有触发器 B、没有统一的时钟脉冲控制 C、没有稳定状态 D、输出只与内部状态有关 6、要构成容量为4K*8的RAM,需要_片容量为256*4的RAM。 A、2 B、4 C、8 D、32 7、一个容量为1K×8的存储器有个存储单元。 A.8 B.8K C.8000 D.8190 8、寻址容量为16K×8的RAM需要根地址线。 A.4 B.8 C.14 D.16 E.16K 9、若R A M的地址码有8位,行、列地址译码器的输入端都为4个,则它们的输 出线(即字线加位线)共有条。 A.8 B.16 C.32 D.256 二、多项选择 10、逻辑变量的取值1和0可以表示_ A开关的组合,断开B、电位的高低 C、真与假 D、电流的有,无 11、在何种输入情况下,“或非”运算的结果是逻辑0__ A、全部输入是0 B、全部输如是1 C、任一输入为0,其他输入为1 D、任一输入为1 12、三态门输出高阻状态时,__是正确的说法。 A、用电压表测量指针不动 B、相当于悬空 C、电压不高不低C、测量电阻指针不动 13、下列触发器中,克服了空翻现象的有_ A、边沿D触发器 B、主从RS触发器 C、同步RS触发器 D、主从JK触发器 三、判断题 14、8421码1001比0001大。 15、数字电路中用“1”和“0”分别表示两种状态,二者无大小之分。 16、因为逻辑表达式A+B+AB=A+B成立,所以AB=0成立。 17、优先编码器的编码信号时相互排斥的,不允许多个编码信号同时有效。 18、若两个函数具有相同的真值表,则两个逻辑函数必然相等。 19、D触发器没有记忆功能。 四、填空题 20、可以用____檫除EPROM中所存的信息。

北京邮电大学 数字逻辑期末模拟试题8

本科试卷(八) 一、选择题(每小题2分,共30分) 1.逻辑函数F1=∑m (2,3,4,8,9,10,14,15), 它们之间的关系是________。 A . B . C . D .、互为对偶式 2. 最小项的逻辑相邻项是________。 A .ABCD B. C. D. 3. 逻辑函数F (ABC )=A ⊙C 的最小项标准式为________。 A.F=∑(0,3) B. C.F=m 0+m 2+m 5+m 7 D. F=∑(0,1,6,7) 4. 一个四输入端与非门,使其输出为0的输入变量取值组合有_______种。 A. 15 B. 8 C. 7 D. 1 5. 设计一个四位二进制码的奇偶位发生器(假定采用偶检验码),需要_______个异或门。 A .2 B. 3 C. 4 D. 5 6. 八路数据选择器如图1-1所示,该电路实现的逻辑函数是F=______。 A . B . C . D . 图1-1 7. 下列电路中,不属于时序逻辑电路的是_______。 A .计数器 B .触发器 C .寄存器 D .译码器 8. 对于JK 触发器,输入J=0,K=1,CP 脉冲作用后,触发器的次态应为_____。 A .0 B. 1 C. 保持 D. 翻转 9. Moore 型时序电路的输出_____。 A.与当前输入有关 B. 与当前状态有关 C. 与当前输入和状态都有关 D. 与当前输入和状态都无关 2F ABC ABCD ABC ABC ACD =++++12F F =12F F =12F F =1F 2F ABCD ABCD ABCD ABCD C A C A F +=AB AB +AB AB +A B ⊕A B +

(完整版)数字逻辑期末试卷(B卷)试题及答案

华东师范大学期末试卷(B) 2009 — 2010 学年第 一 学期 课程名称:___数字逻辑________ 学生姓名:___秦宽________________ 学 号:_2013041046__________________ 专 业:____软件工程_______ 年级/班级:13级软件工程 课程性质:公共必修、公共选修、专业必修、专业选修 一、填空题 (20分,每空2分) 1. (2010)D =( )B = ( )H = ( )8421BCD 答案:(111 1101 1010)B = (7DA )H = (0010 0000 0001 0000)8421BCD 2. 仓库门上装了两把暗锁,A 、B 两位保管员各管一把锁的钥匙,必须二人同时开锁才能进库。这种逻辑关系为 。 答案:与逻辑 3. 逻辑函数式F=AB+AC 的对偶式为 ,最小项表达式为∑=m F ( )。 答案:))((C A B A F D ++= ∑=m F (5,6,7) 2.逻辑函数D AC CD A C AB D C ABD ABC F ''''''+++++=的最简与或式是 。 答案:'D A + 4. 从结构上看,时序逻辑电路的基本单元是 。 答案:触发器 5. JK 触发器特征方程为 。 答案:Q K JQ ''+ 6.A/D 转换的一般步骤为:取样,保持, ,编码。 答案:量化

二、选择题 (20分,每题2分) 1. 计算机键盘上有101个键,若用二进制代码进行编码,至少应为( )位。 A) 6 B) 7 C) 8 D) 51 答案:B 2. 在函数F=AB+CD 的真值表中,F=1的状态有( )个。 A) 2 B) 4 C) 6 D) 7 答案:D 3. 为实现“线与”逻辑功能,应选用( )。 A) 与非门 B) 与门 C) 集电极开路(OC )门 D) 三态门 答案:C 4. 图1所示逻辑电路为( )。 A) “与非”门 B) “与”门 C)“或”门 D) “或非”门 图1 答案:A 5. 在下列逻辑部件中,属于组合逻辑电路的是( )。 A) 计数器 B) 数据选择器 C) 寄存器 D) 触发器 答案:B 6. 已知某触发器的时钟CP ,异步置0端为R D ,异步置1端为S D ,控制输入端V i 和输出Q 的波形如图2所示,根据波形可判断这个触发器是( )。 B C

数字逻辑试卷及答案

数字逻辑试卷及答案 TTA standardization office【TTA 5AB- TTAK 08- TTA 2C】

《数字电子技术基础》期终考试试题(110分钟)一、填空题:(每空1分,共15分) =+的两种标准形式分别为()、 1.逻辑函数Y A B C ()。 2.将2004个“1”异或起来得到的结果是()。 3.半导体存储器的结构主要包含三个部分,分别是()、()、()。 4.8位D/A转换器当输入数字量为5v。若只有最低位为高电平,则输出电压为 ()v;当输入为,则输出电压为()v。 5.就逐次逼近型和双积分型两种A/D转换器而言,()的抗干扰能力强,()的转换速度快。 6.由555定时器构成的三种电路中,()和()是脉冲的整形电路。7.与PAL相比,GAL器件有可编程的输出结构,它是通过对()进行编程设定其()的工作模式来实现的,而且由于采用了()的工艺结构,可以重复编程,使它的通用性很好,使用更为方便灵活。 二、根据要求作题:(共15分) 1.将逻辑函数 P=AB+AC写成“与或非”表达式,并用“集电极开路与非门”来实现。2.图1、2中电路均由CMOS门电路构成,写出P、Q 的表达式,并画出对应A、 B、C的P、Q波形。 三、分析图3所示电路:(10分) 1)试写出8选1数据选择器的输出函数式; 2)画出A2、A1、A0从000~111连续变化时,Y的波形图; 3)说明电路的逻辑功能。

四、设计“一位十进制数”的四舍五入电路(采用8421BCD码)。要求只设定一个输出,并画出用最少“与非门”实现的逻辑电路图。(15分) 五、已知电路及CP、A的波形如图4(a) (b)所示,设触发器的初态均为“0”,试画出输出端B和C的波形。(8分) B C 六、用T触发器和异或门构成的某种电路如图5(a)所示,在示波器上观察到波形如图5(b)所示。试问该电路是如何连接的?请在原图上画出正确的连接图,并标明T的取值。(6分) 七、图6所示是16*4位ROM和同步十六进制加法计数器74LS161组成的脉冲分频电路。ROM中的数据见表1所示。试画出在CP信号连续作用下的D3、D2、D1、D0输出的电压波形,并说明它们和CP信号频率之比。(16分) 表1: 地址输入数据输出 A3 A2 A1 A0 D3 D2 D1 D0 0 0 0 0 0 0 0 1 1 1 1 1 0 0 0 0

数字逻辑北邮期末分析

1.电子电路分为模拟电子电路和数字电子电路。数值的度量采用直流电压或电流的连续值,称模拟量。 2.数字电路比模拟电路有许多优点。如:电路便于集成化、系列化生产,成本低廉,使用方便;抗干扰性强,可靠性高,精度高;处理功能强,不仅能实现数值运算,还可以实现逻辑运算和判断;可编程数字电路可容易地实现各种算法,具有很大的灵活性;数字信号更易于存储、加密、压缩、传输和再现。 3.数字量具有精度高、传输高效、易存储、易处理等优点(上升沿10%—90%) 4.自然码:有权码,每位代码都有固定权值,结构形式与二进制数完全相同,最大计数为2n-1,n为二进制数的位数 5.可靠性代码:(1) 奇偶校验码(2) 格雷码(Gray 码,又称循环码(循环码的一种)<格雷码的特点是任何相邻的两个码组中,仅有一位代码不同,抗干扰能力强,主要用在计数器中> 6.数字电路是传递和处理数字信号的电子电路。它有组合逻辑电路和时序逻辑电路两大类。 7.数字电路的优点:便于高度集成化,工作可靠性强,抗干扰能力强,保密性好等。 8.时序逻辑电路中一定包含:触发器。时序电路中必须有:时钟。从本质上讲,控制器是一种时序电路。时序逻辑电路:逻辑功能特点:任何时刻的输出不仅取决于该时刻的输入信号(输入变量)的状态,而且与电路原有的状态(原来的输出)(Qn+1 = f(Qn, input))有关。即历史状态相关性。时序逻辑电路具有记忆功能(适当的控制) 电路结构特点:由存储电路和组合逻辑电路组成。包含锁存器或触发器它的输出往往反馈到输入端,与输入变量一起决定电路的输出状态。 //时序逻辑电路的类型(都跟触发器或其组合有关)同步时序逻辑电路:所有触发器的时钟端连在一起。所有触发器在同一个时钟脉冲CP 控制下同步工作。 异步时序逻辑电路:时钟脉冲CP 只触发部分触发器,其余触发器由电路内部信号触发。因此,触发器不在同一时钟作用下同步工作。 9.一位十进制计数器至少需要4个触发器 10.锁存器、触发器和门电路是构成数字电路的基本单元。 锁存器、触发器有记忆功能,由它构成的电路在某时刻的输出不仅取决于该时刻的输入,还与电路原来状态有关。而门电路(组合电路)无记忆功能,由它构成的电路在某时刻的输出完全取决于该时刻的输入,与电路原来状态无关 11.布尔代数的三个最重要规则是代入规则,反演规则和对偶规划 12.数字量的特定是数值为离散量,运算结果也是离散量。 13.二进制系统的两个数字0和1是一个开关量,常称比特。用来表示1和0的电平称为逻辑电平。 14.自然二进制有叫有权码。循环码(又叫单位距离码):任何相邻的两个码字中,仅有一位不同。 15.二进制对十进制编码,简称BCD码。8421码(eg:1592是0001 0101 1001 0010)<当相加和大于9时加6修正,无1010~1111>余3码:在8421码的基础上加0011。优点执行十进制相加时,能正确的产生进位信号,而且会给减法运算带来方便。格雷码是使任何两个相邻的代码只有一个二进制状态不同(主要用于计数器)。格雷码是一种循环码。无权码:余 3 码和格雷码。有利于得到更好的译码波形。可靠性代码(奇偶校验码,格雷码) 16.化简的意义:使逻辑式最简,以便设计出最简的逻辑电路,从而节省元器件,优化生产工艺,降低成本和提高系统可靠性。 17.逻辑函数的描述工具:布尔代数{(布尔代数中的变量称为逻辑变量)<0和1代表两种对立的逻辑状态>};真值表(n变量,2^n种可能);逻辑图法();卡诺图法(变量数基本上少于5);波形图;硬件描述语言法。 18.正逻辑,负逻辑,三态门(逻辑1,逻辑0,高阻抗)<使能端有效时(逻辑1)输出状态取决于输入状态> 19.卡诺图

2013《数字逻辑设计》期末考试-试题及参考答案-更新

………密………封………线………以………内………答………题………无………效…… 电子科技大学2012 -2013学年第二学期期末考试 A 卷 课程名称:_数字逻辑设计及应用__ 考试形式:闭卷考试日期: 20 13 年 07 月05 日 考试时长:_120___分钟 课程成绩构成:平时 30 %,期中 30 %,实验0 %,期末 40 % 本试卷试题由___七__部分构成,共__7___页。 题号一二三四五六七八九十合计 得分 I. Fill out your answers in the blanks (3’ X 10=30’) 1. If a 74x138 binary decoder has 110 on its inputs CBA, the active LOW output Y5 should be ( 1 or high ). 2. If the next state of the unused states are marked as “don’t-cares” when designing a finite state machine, this approach is called minimal ( cost ) approach. 3.The RCO_L of 4-bit counter 74x169 is ( 0 or low) when counting to 0000 in decreasing order. 4. To design a "001010" serial sequence generator by shift registers, the shift register should need ( 4 ) bit at least. 5. One state transition equation is Q* = JQ’+K’Q. If we use T flip-flop with enable to complete the equation,the enable input of T flip-flop should have the function EN = ( JQ’+KQ ). (参见课件Flip-flops Transforming Skills.ppt) 6. A 4-bit Binary counter can have ( 16 ) normal states at most, 4-bit Johnson counter with no self-correction can have ( 8 ) normal states, 4-bit linear feedback shift-register (LFSR) counter with self-correction can have ( 16 ) normal states. 7. If we use a ROM, whose capacity is 16 × 4 bits, to construct a 4-bit binary code to gray code converter, when the address inputs are 1001, ( 1101) will be the output. 8. When the input is 10000000 of an 8 bit DAC, the corresponding output voltage is 2V. The output voltage is

数字逻辑习题及答案.

数字逻辑习题及答案 一. 填空题 1.一个触发器有Q和Q两个互补的输出引脚,通常所说的触发器的输出端是指 Q ,所谓置位就是将输出端置成 1 电平,复位就是将输出端置成 0 电平。 2.我们可以用逻辑函数来表示逻辑关系,任何一个逻辑关系都可以表示为逻辑函数的与或表达式,也可表示为逻辑函数的或与表达式。 3.计数器和定时器的内部结构是一样的,当对不规则的事件脉冲计数时,称为计数器,当对周期性的规则脉冲计数时,称为定时器。 4.当我们在计算机键盘上按一个标为“3”的按键时,键盘向主机送出一个ASCII码,这个ASCII码的值为 33H 。 5.在5V供电的数字系统里,所谓的高电平并不是一定是5V,而是有一个电压范围,我们把这个电压范围称为高电平噪声容限;同样所谓的低电平并不是一定是0V,而也是有一个电压范围,我们把这个电压范围称为低电平噪声容限。 二. 选择题 1.在数字系统里,当某一线路作为总线使用,那么接到该总线的所有输出设备(或器件)必须具有 b 结构,否则会产生数据冲突。 a. 集电极开路; b. 三态门; c. 灌电流; d. 拉电流2.TTL集成电路采用的是 b 控制,其功率损耗比较大;而MOS 集成电路采用的是 a 控制,其功率损耗比较小。 a. 电压; b.电流; c. 灌电流; d. 拉电流 3.欲将二进制代码翻译成输出信号选用 b ,欲将输入信号编成二进制代码选用 a ,欲将数字系统中多条传输线上的不同数字信号按需要选择一个送到公共数据线上选用 c ,

欲实现两个相同位二进制数和低位进位数的相加运算选用 e 。 a. 编码器; b. 译码器; c. 多路选择器; d. 数值比较器; e. 加法器; f. 触发器; g. 计数器; h. 寄存器 4. 卡诺图上变量的取值顺序是采用 b 的形式,以便能够用几何 上的相邻关系表示逻辑上的相邻。 a. 二进制码; b. 循环码; c. ASCII 码; d. 十进制码 5. 根据最小项与最大项的性质,任意两个不同的最小项之积为 0 ,任意两个不同的最大项之和为 1 。 a. 不确定; b. 0 ; c. 1 三. 简答题 1.分别写出(或画出)JK 、D 、T 和T ’四个触发器的特征方程、真 值表和状态转换图。 2.请分别完成下面逻辑函数的化简。 1). )DE C B A (*)E D )(C B A (F ++++++= 答:原式)DE C B A (*)]E D ()C B A ([+++++++= )DE )C B A ((*))DE )C B A ((++++++=)) C B A ()C B A ((DE DE )C B A ()C B A (+++++++++++= DE = 2). )EH D B A )(B A )(C A )(C B A (F +++++++= 答:原式的对偶式为: ) H E (ABD AB AC C AB 'F ++++= ))H E (BD B C C B (A ++++=)] H E (BD B B C [A ++++==A A )'A ()''F (===∴原式 3.请分别说明A/D 与D/A 转换器的作用,说明它们的主要技术指标, 并进一步说明在什么情况下必须在A/D 转换器前加采样·保持电路。 答:A/D 与D/A 转换器分别能够将模拟量转换成数字量与数字量转换 成模拟量,通过这样的转换电路,能够将模拟系统和数字系统联

2012北邮数字逻辑期中试题-评分及答案

2012北邮数字逻辑期中试题-评分及答案

北京邮电大学 《数字电路与逻辑设计》期中考试试题 2012.3.24 班级姓名班内序号 题号一二三四五六七八九 总成 绩 分 数 20 10 10 10 10 10 10 10 10 注意:所有答案(包括选择题和计算题)一律写在试卷纸上,如果卷面位置不够,请写在试卷的背后,否则不计成绩。 一、(每题1分,共20分)判断(填√或×)、选择(单项选择)题 (请先在本试卷上答题之后,将全部答案汇总到本题末尾的表格中。)1.组合逻辑电路中不存在记忆单元。(√) 2.晶体管的饱和越深,其对灌电流负载的驱动能力越强,但工作速度越慢。( √ ) 3.TTL门的某输入端通过100KΩ电阻接电源端时,可认为该输入是逻辑“1”。(√) 4.为了增加驱动能力,相同输入时的相同逻辑门输出可以互连在一起使用。 2

3 ( √ ) 5.当两个或两个以上输入信号同时变化,变化前后输出相同,而在输入信号变化时可能出现输出瞬间逻辑错误,称为静态逻辑冒险。( × ) 6.正逻辑的或非门,对应负逻辑的与非门。( √ ) 7.TTL 与非门的关门电平V OFF 越接近阈值电压V T ,其噪声容限越大。( √ ) 8.当i j ≠时,必有两个最大项之积0i j M M ?=。( × ) 9. 用最简与或式表示一个函数时,其表达式可能不是唯一的。( √ ) 10.反相器的拉电流负载电阻过小时,主要对输出的高电平产生影响。( √ ) 11.逻辑项ABCD 的相邻项有: C 。 A.ABCD B.BCD A C.ABC D ? D. C A B D ? 12.判断下列两个函数式中,是否存在逻辑冒险。 B 。 1(,,)Y A B C A BC =+ 2(,,)Y A B C ABC ABC =+ A.不存在,存在 B. 不存在,不存在 C.存在,不存在 D. 存在,存在 13.通过适当连接和控制,可以实现数据双向传输的门电路是 D 。 A. 集电极开路输出的TTL 门电路; B. 互补式输出的TTL 门电路; C. ECL 门电路; D. 三态输出的门电路。 14.若某TTL 门电路的输出端最大灌电流负载能力为16mA ,最大拉电流负载能力为-12mA(流出输出端)。其输入端低电平的输入最大电流为-1mA(流出输入端),输入端高电平时的输入最大电流为0.1mA(流入输入端),该门电路的扇出系数为: A 。 A . 16 B. 120 C. 12 D. 160 15.图1.1中电路为TTL 电路。不能实现对应逻辑功能的电路有 A 。 & A A 1 ≥A A 1 =A A A B 图1.1

数字逻辑试题及答案

期数字逻辑试题A 一、填空题(共15分,每空1分) 1、分别写出逻辑函数的五种表示方法()、()、()、()、()。2、数字逻辑电路的两大种类分别是()电路,()电路。 3、( )和( )是衡量A/D转换器D/A转换器性能优劣的主要指标。 4、消除竞争冒险的4种方法分别是()、()、()、()。 5、555定时器电路提供了一个复位电平为()和置位电平为()。 二、选择题(共10分,每题5分) 1、将(175)10十进制数转换为二进制数下列选择结果中正确的是()。 A、二进制数(11010111)2 B、二进制数(10110011)2 C、二进制数(10011010)2 D、二进制数(1010111 1)2 2、运用摩根定理变换Y=X Q Z 的表达式,下列选择中正确的是()。 A、Y=( X + Q + Z ) B、Y=(X + Q +Z) C、Y=(X + Q + Z) D、Y=( X + Q + Z ) 三、综合题(共20分,每题10分) 1、对如图电路分别写出E = 0 或E = 1 时,函数F 的逻辑表达式。 2、用图形法化简函数为最简与或式 F(A,B,C,D)=∑M(0,1,2,3,6,8)+∑D(10,11,12,13,14,15) 四、组合逻辑电路分析、设计题(共25分,1题10分,2题15分) 1、写出下图电路(电路由四个或非门组成)输出信号的逻辑表达式,并说明其功能。 F

2、用集成译码器74LS138实现下列组合逻辑函数 Z1=AB+AB+BC Z2=AB+BC+CA 30分,每题15分) 1、一个时序逻辑电路如下图所示(由两个D触发器组成),请画出其状态图。 CP 2、用JK触发器设计一个同步、上升沿触发的四进制计数器。状态图如下 /0 /0 /0 /1

北邮数字逻辑期中试题与参考答案

邮电大学 《数字电路与逻辑设计》期中考试试题 2015.4.11 班级班序号 注意:所有答案(包括选择题和计算题)一律写在试卷纸上,如果卷面位置不够,请写在试卷的背后,否则不计成绩。 一、(每题1分,共20分)判断(填√或×)、单项选择题 (请先在本试卷上答题之后,将全部答案汇总到本题末尾的表格中。)1.ECL逻辑门与TTL门相比,主要优点是抗干扰能力强。(╳)2.CMOS门电路在使用时允许输入端悬空,并且悬空的输入端相当于输入逻辑“1”。( ╳) 3.若对4位二进制码(B3B2B1B0)进行奇校验编码,则校验位C= B3⊕B2⊕B1⊕B0⊕1。(√) 4.根据表1-1,用CMOS4000系列的逻辑门驱动TTL74系列的逻辑门,驱动门与负载门之间的电平匹配不存在问题(√) 5. 根据表1-1,用CMOS4000系列的逻辑门驱动TTL74系列的逻辑门,驱动门与负载门之间的电流驱动能力不存在问题(╳) 表1-1常用的TTL和CMOS门的典型参数

6.当i j ≠时,必有两个最小项之和+0 m m=。(╳) i j 7. CMOS门电路的静态功耗很低,但在输入信号动态转换时会有较大的电流,工作频率越高,静态功耗越大。(╳) 8. 逻辑函数的表达式是不唯一的,但其标准的最小项之和的表达式是唯一的。(√) 9.用数据分配器加上门电路可以实现任意的逻辑函数。(√) 10.格雷BCD码具有单位距离特性(任意两个相邻的编码之间仅有一位不同)且是无权代码。(√) =++g,下列说法中正确的有 B 。11.关于函数F A C BCD AB C A. 不存在冒险; B. 存在静态逻辑冒险,需要加冗余项ABD和ACD进行消除; C. 存在静态功能冒险,需要加冗余项ABD和ACD进行消除; D. 当输入ABCD从0001→0100变化时存在静态逻辑冒险。 12.逻辑函数F=A⊕B和G=A⊙B满足关系D。

2020秋西安电子科技大学《数字逻辑电路》大作业期末试题及答案

学习中心/函授站_ 姓名学号 西安电子科技大学网络与继续教育学院 2020 学年下学期 《数字逻辑电路》期末考试试题 (综合大作业) 题号一二三四总分 题分30 10 30 30 得分 考试说明: 1、大作业试题于2020 年10 月15 日公布: (1)毕业班学生于2020 年10 月15 日至2020 年11 月1 日在线上传大作业答卷; (2)非毕业班学生于2020 年10 月22 日至2020 年11 月8 日在线上传大作业答卷; (3)上传时一张图片对应一张A4 纸答题纸,要求拍照清晰、上传完整; 2、考试必须独立完成,如发现抄袭、雷同均按零分计; 3、答案须用《西安电子科技大学网络与继续教育学院标准答题纸》手写 完成,要求字迹工整、卷面干净。 一、单项选择题(每小题2 分,共40 分) 1、下列各数中与十进制数101 不相等的数是( D )。 A.(0100 0011 0100)余3BCD B.(141)8C.(1100101)2D.(66)16 2、八进制数(35)8的8421BCD 是( B )。 A.0011 1000B.0010 1001C.0011 0101D.0010 1100 3、为使与非门输出为1 则输入( A )。 A.只要有0 即可B.必须全为0C.必须全为1D.只要有1 即可 4、函数F AC BC AB与其相等的表达式是( B )。 A.BC B.C+AB C.AC AB D.AB

5、使函数F AB AC BC 等于 0 的输入 ABC 的组合是( C )。 A .ABC=111 B .ABC=110 C .ABC=100 D .ABC=011 6、四变量的最小项ABCD 的逻辑相邻项是( A )。 A .ABCD B .ABCD C .ABC D D .ABCD 7、函数F ABC B .C (A D )BC 的对偶式是( C )。 A . G (A B C )(B C )(AD B C ) B .G A B C (B C )AD B C C .G A B C (B C )(A D B C ) D .G A B C (B C )A D B C 8、F A B C ADE BDE ABC 的最简式为( A )。 A .1 B .ABC DE C .ABC D .A+BDE+CDE 9、 函数F AC BC AB 的最简与非式为( D )。 10、 函数F A B C A .B .D AC ACD AB .D 的最简与或式为 ( D )。 A .F BC AD B .F B C AD C .F AB AC BD D .F AB AC BD 11、 函数F (ABCD ) (0,1,3,4,5,7,12),约束条件为BCD ABD 0 ,其 最简与或非式是( C )。 A .F A .C AD BD B .F CD AB AD A . B . C . D . B A B A C B C B B A . C A B A . . A B C A

相关文档 最新文档