文档库 最新最全的文档下载
当前位置:文档库 › 普中ARM仿真器使用说明书

普中ARM仿真器使用说明书

普中ARM仿真器使用说明书
普中ARM仿真器使用说明书

普中ARM仿真器下载操作说明

本文这里用的是MDK Keil4.74版本,如果在硬件仿真设置中找不到CMSIS-DAP 请更换版本,版本过低的KEIL不会显示CMSIS-DAP-Debugger。

注意:ARM 仿真器在WIN10 上当仿真器插到电脑上时,随电脑开机重启使用之前要把USB 拔了重插才能识别

步骤:打开一个能够正常编译通过的工程

1、进入KEIL硬件仿真设置

2、设置好硬件仿真后我们点Settings进入更深入的设置,请按照我这个面板这样设置。(PS:这里我们也可以选择SW模式,把SWJ勾上Port选择SW就是SW模式了)

3、设置好debug页面。我们点击Flash Download进入下载设置把Rese and Run勾上

4、点击add我们就来到了这一个页面,找到STM32F10x High-density Flash 512k 选中点add 添加就回到第4步页面,有显示STM32F10x High-density 512k 点ok完成设置。

6、完成以上设置后我们点Utilities页面,这里也选择CMSIS-DAP选择好之后我们点ok完成设置(ps:如果这个界面没有Use Target for flash Programming选择CMSIS-DAP就忽略这一项)

7、(keil下载)设置好之后我们编译程序没问题我们点Download进行下载提示Verify OK 就说明已经下载成功了

8、(在线仿真调试)我们可以直接在keil里面调试程序,点工具栏的start debug 开始调试,如果退出也是点这个按钮

点击之后我们就来到了这个页面,RST是复位的意思、第二个是全速运行、第三个是停止运行,再过来就是我们程序检查程序中错误的时候会用到的功能的,第一个箭头是单步运行、第二个和第一个功能差不多,第三个是跳出这个函数,第四个是进入函数内部。这四个功能大家可以自己运行体验一下效果就能理解是什么意思了。

51仿真器使用说明

51仿真器使用说明 初学51单片机或是业余玩玩单片机开发,每次总要不断的调试程序,如没有仿真器又不喜欢用软件仿真,那只有每次把编译好的程序烧录到芯片上,然后在应用电路或实验板上观察程序运行的结果,对于一些小程序这样的做好也可以很快找到程序上的错误,但是程序稍大,变量也会变的很多,系统调试就极为复杂,此时就需要有一台仿真器。一台好的仿真器非常贵,这里介绍这种自制的51芯片仿真器。 这个仿真器的仿真CPU是使用SST公司的SST89C516RD2。 1.制作带串口的的最小应用板 无论是EasyIAP还是仿真器,都需要用串行口使SST89C58芯片和PC上位机进行通讯传输数据,因此先要设计RS232/TTL转换电路。由于现在的电脑多取消了普通串口,因此我们此处设计了一个usb转TTL的串口接口电路,使用的接口芯片是PL2303。 2.通过编程器烧写仿真监控程序 接下来需要把仿真CPU的HEX文件烧到SST89C58里面,再把它插到上面的最小系统电路中就可以了。因为SST89C58有两个程序存储区,在这里要注意的是在烧写时就把仿真监控程序烧到SST89C58的第二个存储区也就是的RB1。烧写时要求用支持SST89C58的编程器。 3. 仿真器原理简介 SST的MCU SoftICE通过PC的一个COM口与KEIL uVision2 Debugger 通讯它可以实时地调试目标程序,因此提供使用SST单片机的工程师简单有效和容易使用在板上调试程序。尽管小而紧凑,SoftICE却提供高级仿真器的大部分功能与KEIL uVision2 Debugger 一起使用。 SoftICE提供以下特性: 源代码调试支持汇编语言和C51高级语言 单步执行STEP和STEP OVER 断点调试做多到10个固定和1个临时断点 全速运行 显示修改变量 读/写数据存储器 读/写代码存储器 读/写SFR特殊功能寄存器 读/写P0-P3端口 下载INTEL HEX文件 对8051程序存储区的反汇编 在线汇编 SST MCU产品特有的IAP功能In Application Programming SoftICE 用到的MCU 硬件资源 SST的SoftICE用到的MCU硬件资源如下

实验一 仿真器使用及单片机IO编程调试

实验一实验箱仿真器使用及单片机I/O口编程 一、实验目的 1.熟悉伟福单片机实验箱仿真器的使用方法。 2. 掌握单片机的指令系统及上机实验过程。 3.掌握源程序的程序调试方法(包括断点设置、单步执行、连续执行等)及通过相应的窗口查看寄存器、存储器内容等方法。 4.掌握单片机的I/O口的特点及应用,如P1口进行数据输入、输出的编程方法。 5.学习延时子程序的编写与使用。 二、实验属性(验证性) 三、实验仪器设备及器材 1.伟福Lab8000单片机实验仪一台; 2.PC机一台; 3. 连接导线十根。 四、实验原理及要求 1.阅读所购实验指导书前置实验箱的硬件及附录A中相关部分资料,了解伟福单片机实验仪的键盘和软件调试环境的使用方法。 2.阅读相关程序,编写实验要求对应程序,调试运行观察实验运行结果。 五、实验内容及步骤 实验内容 内容1 输入给定的或自己编写的简单程序,通过软仿真学习汇编程序调试方法; 内容2 实验箱的P1.0—P1.3口连接四个发光二极管,编写程序,开机后控制四个发光管循环点亮,规律如下图所示: O X X X X O X X X X O X X X X O 循环运行,间隔一秒变化一次,显示出闪烁效果。 内容3 P1.0—P1.3口连接四个发光二极管,P1.4—P1.7接四个拨码开关,编写程序,使得四个开关分别控制四个发光管: 对应的开关推上去时发光管亮, 对应的开关推下来时发光管灭。 实验步骤(实验内容2、3的程序须在实验前自己设计编写完成) 1、PC机--实验箱(仿真器-目标板(用户板))的连接; 认真阅读仿真器实验说明,了解仿真器、仿真头上插座、插头的用途及形状,跳线 含义及设置,完成“PC机--实验箱(仿真器-目标板(用户板))的连接”。 2、安实验要求先连接插接线,然后再打开实验箱电源; 3、进入开发试验软件环境,进行仿真器设置; 4、汇编语言源程序输入(或打开已有 . ASM源文件)、编辑、运行、调试。 六、实验报告

USB仿真器说明书VER3.2

MSP430UIF使用说明
VER3.2
2011-04-03


一、 二、 三、 四、 五、 六、 七、 八、 九、 十、 十一、

功能特点描述 .....................................................................................1 跳线设置说明 .....................................................................................1 JTAG 连接...........................................................................................2 驱动安装 .............................................................................................4 软件设置 ...........................................................................................11 固件升级 ...........................................................................................14 BSL 编程使用方法 ...........................................................................19 烧断熔丝功能 ...................................................................................24 其他相关知识点................................................................................28 常见问题及解决方法........................................................................30 注意事项 ...........................................................................................32

XLINK仿真器使用手册

第一章Xlink仿真器特性描述 ?硬件特性 ?USB 2.0全速接口 ?JTAG / IEEE1149.1标准 ?可编程JTAG时钟,最高可达6Mbits / sec ?JTAG信号电平自适应支持,1.2V ~ 5V ?MULI-ICE 20-PIN标准调试接口 ?USB串口扩展,RS232标准,最高支持921600波特率 ?铝合金外壳,小巧便携 ?软件特性 ?支持在线调试多种CPU内核 ●arm720t ●arm7tdmi ●arm920t ●arm9tdmi ●arm926ejs ●arm966 ●avr ●arm11 ●cortex_m3 ●cortex_m8 ●xscale ?支持GDB调试协议 ?支持单步、跳转、全速、条件断点、变量显示、堆栈跟踪、内存查看等?支持在线烧写NOR Flash、NAND Flash及某些CPU的片内ROM ?支持低阶命令行功能,使用telnet方式登陆 ?支持Eclipse集成开发环境

第二章安装Xlink USB JTAG服务程序 双击xlink-usb-jtag-setup-0.4.0.exe,进入安装向导 点击下一步 目标文件夹路径不能带有空格符号,建议安装在C盘根目录下。

点击安装,进入安装过程 点击完成按钮,结束安装向导 备注:Xlink USB JTAG驱动程序目录为安装目录下的driver目录

第三章安装Xlink USB JTAG驱动程序 将Xlink仿真器插入USB口,在右下角会出现设备插入提示 如未自动弹出驱动安装界面,请打开设备管理器,在Xlink USB Jtag上右键,并点击“更新驱动程序软件” 选择“浏览计算机以查找驱动程序软件”

51单片机简易仿真器的制作

51单片机简易仿真器的制作 实验目的: 由于市场上现有的单片机仿真器非常昂贵,为了减少在开发单片机时的成本,故提出利用SST公司的SST89E564RD系列单片机制作简单的51单片机仿真器。 实验环境: 1.硬件环境: 计算机一台SST89E564RD单片机MAX232芯片串口线一根 2.软件环境: Protel99SE软件和KeilC51软件。 其中Protel99SE可以完成硬件原理图的设计,以及PCB板的制作;KeilC51可以完成工程的建立,代码的编写,程序的编译以及最终的软硬件仿真。 实验内容: 1.实验原理: 只需将SST单片机的RXD P3.0 和TXD P3.1 管脚通过一个RS232的电平转 换电路连接到PC的COM串口即可,可使用这个RS232的转换电路做一个通用的8051的下载线。下载时只需将下载线连接到用户目标板上单片机的P3.0 P3.1 VCCGND4个管脚即可进行下载或仿真。 设计的原理图如图1所示,在实际的设计过程中,添加了一个发光二极管,其目的很简单,就是为了验证仿真器供电正常。

图1 SST89E564单片机仿真器原理图 设计的SST89E564单片机仿真器的PCB 板如图2所示,在设计并印制PCB 板之后,硬件电路的设计就完成了。

图2 SST89E564单片机仿真器PCB板

2.实验步骤: 1)通过SST 串口下载软件BootLoader 下载SOFTICE 监控代码 由于SST的MCU在出厂时已经将BOOT LOADER的下载监控程序写入到芯片中,因此无需编程器就可通过SST BOOT-STRAP LOADER软件工具将用户程序下载到SST的MCU中,从而运行用户程序。 SST BOOT-STRAP LOADER软件工具还可将原来的MCU内部的下载监控程序转换为SoftICE的监控程序,从而实现SOFTICE的仿真功能。 执行SSTEasyIAP11F.exe软件运行SST Boot-Strap Loader,在内部模式下检测到对应器件的型号后,SoftICE固件通过按SoftICE菜单下“Download SoftICE”选项下载,便将SoftICE固件下载到MCU 。在BLOCK1的SST Boot-Strap Loader 会被SoftICE固件代替。 详细操作步骤如下 A 选择连接的串口 B 选择芯片型号和内部存储器模式(选择使用SST89E564RD,使用片内程序存储器)

普中51仿真器使用说明书

普中51仿真器下载操作说明 首先安装普中51仿真器的驱动:(安装时,用管理员身份运行,最好要把360 等杀蠹软件先关掉成功后再打开) 双击set up图标 H3 setup^ESexe 墉setup_x54ieMe 对应什么电脑系统就装什么驱动,有win32,win64; 具体安装步骤如下: 选择路径中,选择与你keil安装的路径一样就行了(这里我们把KEIL1安装在E 盘)

一旦“安装”由灰色变成黑色,点击它就行了 最后点击确定即可。 如果电脑XP系统出现这种情况: 没有癖J DIFWI. dll J因]此这个应用程序未能启动-重新安装应用程序可能会修复此问题, 就把那个驱动安装文件中的这个 函叩Ldll 2015718 口炀应用程序扩星M12KE 复制到WINDOW SYSTEM32面,

本文这里用的是MDK Keil4.74版本,在“Debug”硬件仿真设置中找到PZ51 Tracker Driver就行了,如果没有找到就说明KEIL版本不合适,需要安装新版本的keil软件。 仿真步骤:打开一个能够正常编译通过的工程

蜉虻淄更斗 由 * 官盅主山#赛M 丈兰*机何-奇21、RMM 宰口丈虹 发零养号取」o.i-^p-oj - p7i &ior4 EH F f^it V PTW Piajrrt Flash Ochug Rtripheraik T DA J I 5VCS ^X'iinaguw Hf|p j 「一』割.一 二 I I F ■株%|毒竺帕" 乏 _______________________________________________ 日9 ¥ 姓 专笆目莎暨| %" | Tflrffrtt 卜|卷&蓉幸朗 由可记 ■ @ 固心tu □ REG51,M 国 mmWL ■ x 1、进入KEIL 硬件仿真设置 j_J F arget 1 E-^ Saurce Group 1 为 SIARIJPA5_ S -[£] Eiiin.c 孟J&EG5LT □ P .右 F U 7 I 顷- Build Output 4-6 47 — 4S void UsartC&nf iomira^ian (I- 49 F 50 SCOH-gS “讦旨布丁作方于1 51 1MW==10SMC I F 厂云也汁婚程工涪万式£ 5; PC03T-3KE 2-7 打波特军H 倍 4^3 rHi=cxFa : ”奸救舞戒培宅日宣.往急蓝才玉是弟况的 S4 TLl*i :Xr*2 SS 〃 E£=Lr 〃打开接收中新 5< /< El=l ; 〃打开总中酎 57 TR1-1; 〃位开甘钦对 5? S9 J *.此入出一 矗- W2J 薪祐- £? L £T void Dela^lOcis ( -iLSlzned int cf F/1M 室 O LIS ce R ( €9 un#igH/di ch4)x A f b ;

普中ARM仿真器使用说明书

普中A R M仿真器使用 说明书 -CAL-FENGHAI.-(YICAI)-Company One1

普中ARM仿真器下载操作说明 本文这里用的是MDK 版本,如果在硬件仿真设置中找不到CMSIS-DAP请更换版本,版本过低的KEIL不会显示CMSIS-DAP-Debugger。 注意:ARM 仿真器在WIN10 上当仿真器插到电脑上时,随电脑开机重启使用之前要把USB 拔了重插才能识别 步骤:打开一个能够正常编译通过的工程

1、进入KEIL硬件仿真设置 2、设置好硬件仿真后我们点Settings进入更深入的设置,请按照我这个面板这样设置。 (PS:这里我们也可以选择SW模式,把SWJ勾上Port选择SW就是SW模式了)

3、设置好debug页面。我们点击Flash Download进入下载设置把Rese and Run 勾上

4、点击add我们就来到了这一个页面,找到STM32F10x High-density Flash 512k 选中点add添加就回到第4步页面,有显示STM32F10x High-density 512k 点ok 完成设置。 6、完成以上设置后我们点Utilities页面,这里也选择CMSIS-DAP选择好之后我们点ok完成设置(ps:如果这个界面没有Use Target for flash Programming选择CMSIS-DAP就忽略这一项)

7、(keil下载)设置好之后我们编译程序没问题我们点Download进行下载提示Verify OK就说明已经下载成功了 8、(在线仿真调试)我们可以直接在keil里面调试程序,点工具栏的start debug 开始调试,如果退出也是点这个按钮

MSP-FET430UIF仿真器使用说明

MSP-FET430UIF 仿真器使用说明

目录 1.功能描述 2.JTAG连接关系 3.IAR开发环境的安装 4.仿真器驱动的安装 5.配置仿真器及仿真方法 5.1编译程序 5.2正确设置仿真器的参数 5.3如何用msp430仿真器调试程序 5.4第三方软件下载程序 6.注意事项 7.常见问题答解

1. 功能描述 a. 本仿真器为USB接口的JTAG仿真器。USB口从计算机取电,不需要外接源, 并能针对不同需求给目标板或用户板提供1.8V~3.6V(300mA)电源。 b. 对MSP430低功耗flash全系列单片机进行编程和在线仿真. c. 完全兼容TI仪器原厂MSP-FET430UIF开发工具。 d. 支持在线升级,烧熔丝加密。 e. 采用TI仪器标准的2×7 PIN(IDC-14)标准连接器。 f. 支持IAR430、AQ430、HI-TECH、GCC 以及TI等一些第三方编译器集成开 发环境下的实时仿真、调试、单步执行、断点设置、存储器容查看修改等。 g. 支持程序烧写读取和熔丝烧断功能。 h. 支持JTAG、SBW(2 Wire JTAG)接口。 i. 支持固件升级功能。 2. JTAG连接关系 仿真器与目标板上MSP430系列MCU的连接关系分为2线连接和4线连接,如下两图所示:(注意:JTAG 接口的定义描述也可以由下图得到) 4 线连接关系示意图

2 线连接关系示意图 3. IAR开发环境的安装 我以iar for msp430 5.5.为例,但是建议安装我们提供的iar for msp430 5.2; 首先,运行“配套光盘:\ msp430软件\IAR安装软件及注册机iar for msp430 5.5.rar” 解压并进行安装。安装步骤如下图所示 等待,直至出现如下图

51仿真器原理图及制作过程

51仿真器原理图及制作过程 -------------------------------------------------------------------------------- 51仿真器原理图及制作过程 此仿真器是采用SST89E564 芯片配合一些电子元器件制作的仿真器。仿真程序代码63K,现将此仿真器的资料整理如下(部分网站上也有整理,但不够完善): 1.仿真器电路原理图: 2.根据以上原理图将以上硬件搭好,再准备一条串口延长线和电路板连好,另 外我们再下载一个制作仿真器的软件SSTEasyIAP11F.exe 将*程序写入到 芯片,写完之后我们仿真器也就做好了。具体方法如下: 3.SSTEasyIAP11F.exe 软件的下载地址: https://www.wendangku.net/doc/9915187695.html,/products/software_utils/softice/index.xhtml 本文来自: https://www.wendangku.net/doc/9915187695.html, 原文网址:https://www.wendangku.net/doc/9915187695.html,/mcu/51mcu/0084927.html https://www.wendangku.net/doc/9915187695.html,/products/software_utils/softice/index.xhtml 4.解压后打开如下界面:

5.按下图操作,点击红色箭头: 6.得到如下界面,我们先选择仿真芯片为SST89E564,然后点击OK

7.得到下图后,我们点击确定,上电. 8.当出现下图红色箭头所示,表示连接成功.

9.接下来我们开始下载*程序,单击红色箭头的Download SoftICE 10.如下图所示,我们点击OK开始下载*程序

XDS510 USB2.0仿真器说明书

敬告用户 欢迎您成为我公司DSP仿真器产品的用户,在未阅读此敬告前请勿使用 我公司产品。如果您已开始使用,说明您已阅读并接受本敬告。 1. 本说明书中的资料如有更改,恕不另行通知。 2. 在相关法律所允许的最大范围内,本公司及其经销商对于因本产品 故障所造成的任何损失均不承担责任。不论损害的方式如何,本 公司及其经销商所赔付给您或其他责任人的责任总额,以您对本产品的实际已付为最高额。 3. 本公司及其经销商对所售产品自购买之日起三个月包换、一年保 修,其前提是您按说明书正常操作,对于非正常操作所致的损坏, 实行收费修理。 一、功能与特点 主要特点: 1、铝合金外壳,金属外壳抗外界电磁干扰能力更加先进,高档的外壳更显美观、专业 2、体积更小,有如一张名片大小 3、接口更加安全 4、性能更加卓越 5、速度较其他仿真器快一倍 · 采用高速版本USB2.0 标准接口,即插即用,传输速度可达480MB/S,向下兼容 USB1.1 主机; · 标准Jtag 仿真接口,不占用用户资源;特别接口安全保护设计,全面支持JTAG 接口 热插拔; · 支持Windows98/NT/2000/XP 操作系统; · 支持TI CCS2.X、支持CCS3.1 集成开发环境,支持c 语言和汇编语言; · 实现对F28x/F240x/F24x/F20x 的Flash 可靠编程; · 仿真速度快,支持RTDX 数据交换; · 不占用目标系统资源; · 自动适应目标板DSP 电压; · 设计独特,完全克服目标板掉电后造成的系统死机;完全解决目标板掉电后不能重 起CCS 的问题; · 可仿真调试TI 公司 TMS320C2000、TMS320C3000、TMS320C5000、TMS320C6000、3X、C4X、C5X、C8X 及OMAP、DM642 等全系列DSP 芯片。 · 支持多DSP 调试,一套开发系统可以对板上的多个DSP 芯片同时进行调试. · 对TI 的未来的芯片,只需升级软件便可轻松应用。 · 安装简单,运行稳定,价格低廉。 二、仿真DSP 范围 可仿真调试TI 公司: TMS320C2000 系列:F20X、F24X、F240X、F28XX 等 TMS320C3000 系列:VC33 等 TMS320C5000 系列:54X 、55X 等 TMS320C6000 系列:62XX/67XX、64X 等 OMAP:如1510、5910 等全系列TI DSP 芯片

80C51系列单片机仿真器选购指南

80C51系列单片机 仿真器选购指南 (第二版) 广州周立功单片机发展有限公司2003年5月10日

目录 第一章为什么要使用仿真器 第二章仿真器中使用的技术 第三章国内仿真器的现状 第四章仿真器设计的误区 第五章如何挑选通用仿真器 第六章如何测试通用仿真器 第七章如何挑选采用HOOKS技术的仿真器

第一章 为什么要使用仿真器 1.1 仿真的概念 仿真的概念其实使用非常广 最终的含义就是使用可控可控 可控的手段来模仿真实的情况 在嵌入式系统的设计中仿真应用的范围主要集中在对程序的仿真上例如在单片机的开发过程中 程序的设计是最为重要的但也是难度最大的一种最简单和原始的开发流程是 编写程序 烧写芯片 验 证功能这种方法对于简单的小系统是可以对付的但在大系统中使用这种方法则是完全不可能的 1.2 仿真的种类 软件仿真这种方法主要是使用计算机软件来模拟运行实际的单片机运行因此仿真与硬件无关的系 统具有一定的优点用户不需要搭建硬件电路就可以对程序进行验证 特别适合于偏重算法的程序 软件 仿真的缺点是无法完全仿真与硬件相关的部分因此最终还要通过硬件仿真来完成最终的设计 硬件仿真使用附加的硬件来替代用户系统的单片机并完成单片机全部或大部分的功能使用了附加 硬件后用户就可以对程序的运行进行控制例如单步 全速 查看资源 断点等 硬件仿真是开发过程中 所必须的 1.3 为什么要使用仿真器? 在与一些有经验的工程师交谈中我们会发现有相当一部分工程师在开发中不使用或很少仿真器向 他们询问原因得到的回答是仿真器不可靠 但是他们是如何解决程序开发中遇到的问题呢 通过深入 的交流才明白他们是按照这样的方法来开发程序的 (1) 根据自己的设计建立一个符合要求的硬件平台如果该平台涉及的程序比较复杂还要搭建一个 人机交流的通道人机交流通道可能是一个简单的发光二极管 蜂鸣器 复杂的可能是串口通讯口 LCD 显示屏 (2) 写一个最简单的程序例如只是将发光二极管连续的闪烁程序编译后烧写到单片机芯片中验证硬件平台是否工作正常 (3) 硬件平台正常工作后编写系统最低层的驱动程序 每次程序更改后都重新烧写单片机芯片验证 如果在程序验证中遇到问题则可能在程序中加入一些调试手段例如通过串口发送一些信息到PC 端的 超级终端上 用于了解程序的运行情况 (4) 系统低层驱动程序完成后再编写用户框架程序由于这部分已经不涉及到硬件部分所以程序中的问题用户一般能够发现 但是更多的调查表明使用以上方法的工程师总的看来所设计的程序不是很庞大或很复杂因为在做简单的项目时 我们可以通过一个发光二极管就可以表达出内部的信息 如果程序复杂可能需要更多的 信息来表示内部的状态 这样可能就需要串口协助调试 如果程序更复杂 硬件更多 实时性更强 那工 程师就要更多的增强调试手段串口可能就不能满足了 需要类似于断点的功能因为我想知道在某一个 时刻单片机内部的状态究竟是怎样 如果用户程序的修改非常频繁可能一次又一次地的烧写芯片占用的时间就很多这时用户就会想能下载程序并运行的装置 到这里您会看到随着用户要求的越来越高调试装置已经越来越象一个通用的仿真器了因此我 们的建议是不要回避使用仿真器 因为使用仿真器能提高您的开发速度

ARM仿真器用户手册

techor ICE? ARM仿真器用户手册 (Version 2.0) 深圳技创科技有限公司 TECHNIQUE INNOVATOR INC. https://www.wendangku.net/doc/9915187695.html, -? 2003,2004 https://www.wendangku.net/doc/9915187695.html,-

第一章概述 (3) 1.1 系统配置要求 (3) 1.2 设备连接 (4) 1.3 电源的使用 (4) 1.4 techor ICE?的优点 (4) 1.5 内核支持 (5) 第二章JTAG口介绍 (6) 2.1 接口连接 (6) 2.2 接口电平 (7) 2.3 TCK信号频率设置 (8) 2.4 目标系统设计指南 (9) 2.5 RTCK时钟 (10) 2.6 JTAG连接转换座 (10) 第三章techorICE?介绍 (12) 3.1 系统功能层次划分 (12) 3.2 软件架构 (12) 3.3 远程调试功能 (13) 3.4 Server配置 (14) 3.4.1自动配置 (14) 3.4.2手动配置 (14) 3.4.3 IR长度文件 (15) 3.4.4配置过程 (16) 3.5 多内核目标系统 (16) 3.6 系统组成结构 (18) 第四章Server program使用指南 (20) 4.1 启动Server program (20) 4.2Server program菜单介绍 (21) 4.2.1工具栏 (21) 4.2.2文件菜单 (22) 4.2.3视图菜单 (22) 4.2.4控制菜单 (23) 4.2.5连接菜单 (24) 4.2.6设置菜单 (24) 4.3Server状态指示 (24) 4.3.1配置后的状态 (25) 4.3.2连接后的状态 (26) 4.3.3激活时的状态 (27) 4.4并口设置 (28) 4.5时钟设置 (28) 4.6运行控制 (29) 4.6.1指定各个设备之间的交互方式 (29) 4.6.2设置轮询频率 (31) 4.7启动选项设置 (32) 附录一 TCK频率与设置值转换表 (34) 附录二TCK设置值与频率转换表 (37) 附录三常见问题 (40)

品诺电子C8051F仿真器产品说明书

品诺电子C8051F仿真器产品说明书

目录 目录 (2) 一、产品概述 (3) 1.1 C8051F调试工具简介 (3) 1.2 产品性能 (4) 1.3 仿真器接口定义 (4) 二、在KEIL下使用USB Debug Adapter (5) 三、使用批量下载工具 (7) 3.1 U-EC5中文下载程序 (7) 3.2 Silicon Laboratories Flash Utility (8) 3.3 Silicon Labs MCU Production Programmer (9) 四、EC6固件更新 (10)

一、产品概述 1.1 C8051F调试工具简介 C8051F系列单片机是Silabs公司推出的一系列增强型51单片机,其指令集兼容传统MCS-51。内核采用增强型CIP-51,其最大指令速率达到100MIPS,丰富的外设以及灵活的交叉开关,形成一个SOC,为目前绝大多数8位单片机所不能比拟。C8051F单片机目前正在高速增长,由于具有兼容传统51的先天优势,已经被越来越多的爱好者和设计者所青睐,C8051F单片机已经进入大学课堂,成为大学单片机教材。 C8051F单片机开发工具经过多个版本发展,经历了并口、串口、USB-串、USB。目前,以及发展到真正的USB通信,不再使用串并口或者虚拟串口。C8051F开发工具还包括U-PDC等,但是使用最方便、最普遍的仍然是U-EC6。 品诺电子U-EC6仿真器采用国外原装电路改进而来,可实现支持单步、连续单步、断点、观察点、堆栈监视器, 可以观察/修改存储器和寄存器, 下载程序到Flash存储器等功能,兼容国内任何一家的C8051F调试工具。多次得到 高校的批量订单,使用效果反馈良好。请定期去官方网站 件,以达到更好的使用效果。也可以在国内代理商https://www.wendangku.net/doc/9915187695.html,下载。

THKL-C51仿真器联机及软件的使用说明

附录一 THKL-C51仿真器联机及软件的使用说明 一、仿真器自检步骤 不要带电插拔串口,以防止由此产生的浪涌电流损坏MAX232通讯芯片,下面的操作顺序可以避免带电插拔。 联机正确顺序:插好仿真用串口旋紧固定螺栓>>插上USB电源接口>>连接目标硬件,可以是任何51系统开发板、试验板、工控板、目标板...等等的51硬件系统。 脱机正确顺序:拔下USB电源接口>>拔下仿真用串口。如果短期内经常要使用仿真功能,无需拔下串口。 因为仿真器在通电瞬间要对系统进行自检,所以在通过USB给仿真系统供电之前,仿真头上不要连有负载。接通USB电源,自检通过后POW LED指示灯会亮起来,表示自检通过,此时就可以进入的硬件仿真了。 二、仿真器复位按钮的作用 在仿真器的右侧下方有一个小的按纽,这个按钮用来给整个仿真器硬件系统复位,什么时候需要按这个按钮呢?设置好KEIL的硬件环境后,在每次点击进入仿真环境之前,需要按一下这个复位按钮,这样KEIL启动后,软件和已复位的硬件仿真器就会顺利联机,在点击进入仿真环境之后,仿真器完全由KEIL控制,此时不要按这个按钮,否则在仿真过程中系统将会提示联机中断。 如果需要给硬件复位的话,请先点击仿真器的复位键然后点退出KEIL仿真调试环境。 仿真器使用注意事项:在打开PC机之前请把仿真器和PC机的串口连好。在联机后,请千万不要带电插拔仿真器和PC机的接口,如果带电插拔仿真器就可能导致接口电路MAX232损坏。注意插拔的时候仿真器或者PC机至少有一方的电源是断开的。PC机的串口和并口等接口的最大不便就是不支持热插拔,这也是开发USB接口的根本原因。 断开连接之前推荐步骤: 1.按一下仿真器硬件复位按钮。 2.按退出仿真环境。 3.关闭KEIL,关闭PC机,最后再断开硬件连接,如果要经常使用则不用断开硬件连接。 三、Keil uVision2仿真软件的使用说明 μVision2集成开发环境 μVision2 IDE是德国Keil公司开发的基于Windows平台的单片机集成开发环境,它包含一个高效的编译器、一个项目管理器和一个MAKE工具。其中Keil C51是一种专门为单片机设计的高效率C语言编译器,符合ANSI标准,生成的程序代码运行速度极高,所需要的存储器空间极小,完全可以与汇编语言媲美。 1.关于开发环境 μVision2的界面如图1-1所示,μVision2允许同时打开、浏览多个源文件。

普中ARM仿真器使用说明书

普中ARM仿真器下载操作说明 本文这里用的是MDK Keil4.74版本,如果在硬件仿真设置中找不到CMSIS-DAP 请更换版本,版本过低的KEIL不会显示CMSIS-DAP-Debugger。 注意:ARM 仿真器在WIN10 上当仿真器插到电脑上时,随电脑开机重启使用之前要把USB 拔了重插才能识别 步骤:打开一个能够正常编译通过的工程

1、进入KEIL硬件仿真设置 2、设置好硬件仿真后我们点Settings进入更深入的设置,请按照我这个面板这样设置。(PS:这里我们也可以选择SW模式,把SWJ勾上Port选择SW就是SW模式了)

3、设置好debug页面。我们点击Flash Download进入下载设置把Rese and Run勾上 4、点击add我们就来到了这一个页面,找到STM32F10x High-density Flash 512k 选中点add 添加就回到第4步页面,有显示STM32F10x High-density 512k 点ok完成设置。

6、完成以上设置后我们点Utilities页面,这里也选择CMSIS-DAP选择好之后我们点ok完成设置(ps:如果这个界面没有Use Target for flash Programming选择CMSIS-DAP就忽略这一项) 7、(keil下载)设置好之后我们编译程序没问题我们点Download进行下载提示Verify OK 就说明已经下载成功了

8、(在线仿真调试)我们可以直接在keil里面调试程序,点工具栏的start debug 开始调试,如果退出也是点这个按钮 点击之后我们就来到了这个页面,RST是复位的意思、第二个是全速运行、第三个是停止运行,再过来就是我们程序检查程序中错误的时候会用到的功能的,第一个箭头是单步运行、第二个和第一个功能差不多,第三个是跳出这个函数,第四个是进入函数内部。这四个功能大家可以自己运行体验一下效果就能理解是什么意思了。

51TRACER仿真器操作手册

目前市面上专业的51仿真器价格在2000元以上,初学者无力承受。而大量网上销售的采用SST单芯片公版方案仿真器由于速度慢且占用较多资源,并没有实际使用价值。51TRACER(追踪者)仿真器是深圳市学林电子有限公司开发生产的具有自主知识产权的新一代专业仿真器,无论技术,工艺,品质均具有跨时代的进步! 十大创新设计: 2大量采用最新工艺和器件,全贴片安装,进口钽电容,贴片电解。 4 单USB接口,无需外接电源和串口,即插即用,台式电脑、无串口的笔记本均适用。三CPU设计,采用仿真芯片+监控芯片+USB芯片结构,是一款真正独立的仿真器。 6不占资源,无限制真实仿真(32个IO、串口、T2可完全单步仿真),真实仿真32条IO脚,包括任意使用P30和P31口。 8独创内置晶体功能,可以选择最常用的4,11.0592,12,24mhz晶体。完美解决晶体引线过长导致的不稳定。 10独创长按复位键自动进入脱机运行模式,这时仿真机就相当于目标板上烧好的一个芯片,可以更加真实的运行。这种情况下实际上就变了一个下载器,而且下次上电时仍然可以运行上次下载的程序。

第一步:用随机USB电缆连接仿真器USB插座和计算机USB口;显示找到新硬件向导,选择“从列表或指定位置安装(高级)”选项,进入下一步; 第三步:弹出“硬件安装”对话框,如果系统提示“没有通过Windows 徽标测试…”,不用理会,点击“仍然继续”,向导即开始安装软件; 然后弹出“完成找到新硬件向导”对话框,点击完成。

第四步:重复以上几个步骤;右下角弹出对话框“新硬件已安装并可以使用了”,你可以进入系统的:控制面板\系统\硬件\设备管理器中看到以下端口信息,表示系统已经正确的安装了USB驱动。 安装失败的解决方法: 如果在安装中中途退出或掉电等,可能造成安装失败。这时,可以在设备管理器中删除带有黄色感叹号的USB设备,然后在重复以上的安装步骤即可。 特别情况下的usb安装: 一般情况下的usb安装如上所述,特别情况下,您的电脑可能已经安装了其他的usb打印机,usb 编程器等。在这种情况下,分配给usb虚拟串口可能是串口5,甚至是串口6!而KEIL仿真的COM选择范围只限于com1,com2,com3,com4 四个串口,如何解决呢?比较笨的办法是干脆重新安装系统,在新的系统中首先安装XLISP的usb驱动,这样系统分配的串口自然靠前了。

AVR USB JTAG 仿真器使用说明

AVR JTAG AVR仿真器V3使用说明 AVR JTAG是与Atmel公司的AVR Studio相配合的一套完整的基于JTAG接口的片上调试工具,支持所有AVR的8位RISC指令的带JTAG口的微处理器。JTAG接口是一个4线的符合IEEE 1149.1标准的测试接入端口(TAP)控制器。IEEE的标准提供一种行之有效的电路板连接性测试的标准方法(边界扫描)。Atmel的AVR器件已经扩展了支持完全编程和片上调试的功能。 AVR JTAG仿真器用来进行芯片硬件仿真,如程序单步执行、设置断点等,通过硬件仿真可以了解芯片里面程序的详细运行情况。AVR JTAG仿真器主要用来对芯片进行仿真操作,同时也可以通过JTAG接口对芯片编程(将程序写入芯片)。 AVR JTAG仿真器图片 AVR JTAG连接示意图

AVR JTAG仿真器与单片机/开发板连接方法:以ATMEGA16和ATMEGA128为例: 如果使用的不是本店的开发板通电前请参照上面的接口图先确认接口是否对应以免损坏硬件,开发板上的JTAG接口第4与第7针都要与VCC接通。 支持芯片列表: ATmega16(L), ATmega32(L), ATmega323(L), ATmega64(L), ATmega128(L) ,ATmega162(L), ATmega169(L or V) 把仿真器直接插到电脑的USB并安装驱动: AVR Studio 软件推荐使用4.18版本,如果你与开发板一起购买在开发板的光盘里有软件,如果没买开发板可到以下网址下载: https://www.wendangku.net/doc/9915187695.html,/dyn/resources/prod_docu ments/AvrStudio4Setup.exe

驾驶模拟器说明书

BZ2012-1最新款豪华型汽车驾驶模拟器 BZ2012-1型驾驶模拟器是我公司根据最新的驾驶员培训大纲和最新的驾驶模拟器行业标准(JT/T398-2011)开发而成的最新产品,驾驶座舱进行了大量技术创新和改进,并配置了最新的“BZ-2011驾驶模拟器软件V1.2”。 一、座舱 座舱由驾驶舱座,视景计算机,视屏(19寸显示器),操作传感器,数据采集卡,耳机和话筒等组成。 座舱包含了与真实车辆相同的操作部件,“五大”操纵机构:方向盘、离合器,脚刹,油门和手刹。真车变速器:倒档、一档、二档、三档、四档、五档和空挡(自动档只含前进档、倒车档和驻车档)。真车操作开关:左转向灯、右转向灯、应急灯、喇叭、点火开关、总电开关、安全带、车门、雨刷、远光灯、近光灯、远近光交替。

座舱既可以进行联网训练,也可以进行单机训练。利用主控台计算机,最多可以将30台座舱连接到一个训练场景进行训练。 学员通过操作不同的操作部件,经过各自的传感器产生不同的操作信号,这些操作信号通过数据采集卡传送给计算机,经过各种训练模型的逼真运算,最后在视屏上输出与操作相对应的三维场景与各种声音。 二、主要功能 驾驶舱配备最新的BZ2012-1驾驶模拟器软件V1.2版,该软件为我公司最新开发完成,具有自主知识产权。 软件采用汽车多自由度数学模型,实现汽车转向、制动和加速的逼真模拟;另外利用最新的计算机技术,实现真实的三维场景及逼真的声音模拟。 训练按照三个难度级别分别进行训练:初级驾驶,中级驾驶和高级驾驶。 BZ2012-1驾驶模拟器软件技术指标 训练车型选择场地训练选择界面 城市道路选择乡村道路选择 面板提示语(关键词语):

ZH-CC Debugger多功能调试仿真器使用手册

ZH ZH-CC -CC Debugger 多功能调试多功能调试/ /仿真器用户使用手册 南京智鹤电子南京智鹤电子科技有限公司科技有限公司科技有限公司(原志和科技) (原志和科技)

1介绍 欢迎您使用南京智鹤电子科技有限公司(原志和科技)荣誉出品的ZigBee多功能调试/仿真器ZH-CC Debugger(以下简称ZH-CC Debugger)。ZH-CC Debugger能被用于调试、仿真及对TI公司所有RF片上系统(SoC)Flash存储器进行编程(CC1010除外)。PC端使用TI 公司的SmartRF Flash Programmer和IAR Embedded Workbench for8051编译开发环境。 当然,ZH-CC Debugger也能使用SmartRF Studio软件对片上系统进行控制和测试。当使用SmartRF Studio软件时,能支持CC2520\CC2530等片上系统在线设置及调试。 当使用Packet Sniffer软件时,ZH-CC Debugger配合ZH-CC2530EM即可组成具有USB 接口的最新IEEE802.15.4/ZigBee、ZigBee2007协议分析仪。它相当于一台2.4GHz的频谱分析仪、一台高档的逻辑分析仪和数字示波器。该协议分析仪可以全面解码、简化、了解复杂的ZigBee协议栈并加速调试。 2使用环境 操作使用温度:0~85℃ 调试/仿真器工作电压:3.3V 目标板最大支持电流:500mA 支持操作系统:Windows2000/XP(sp2/sp3)/Vista32 3驱动安装 为获取ZH-CC Debugger的驱动程序,用户必须通过安装SmartRF Studio和SmartRF Flash Programmer及Packet Sniffer或者IAR EmbeddedWorkbench for80517.51A(或者更高版本)软件来获取。(上述软件的安装方法这里不再详细说明,请用户自行查阅相关文档)。 当用户第一次使用ZH-CC Debugger时,插入USB电缆线后,Windows操作系统会提示检测到新硬件,如下图所示:

MSP430UIF仿真器使用说明

伯乐电子 MSP430-UIF全功能仿真器 使用说明书 2011-09

一、功能描述 ?USB 接口的 JTAG 仿真器; ?对 MSP430 FLASH 全系列单片机进行编程和在线仿真; ?完全兼容德州仪器原厂 MSP-FET430UIF 开发工具; ?采用德州仪器标准的 2?7 PIN(IDC-14)标准连接器; ?支持IAR430、AQ430、HI-TECH、GCC 以及TI 一些第三方编译器集成开发环境下的实时 仿真、调试、单步执行、断点设置、存储器内容查看修改等; ?支持程序烧写与读取; ?支持 JTAG、SBW(2 Wire JTAG)接口; ?支持固件升级功能。 二、JTAG 连接 仿真器 14PIN 的连接头定义(注意第一脚的方向)。 ①TI标准4线JTAG接口,(有些比如5系列需要接第8脚TEST引脚) 图1:Signal Connections for 4-Wire JTAG Communication

②仿真器SBW的接线【以msp430f2010举例】: 仿真器--- msp430f2010 VCC --- VCC GND --- GND TDO --- RST TCK --- TEST SBW接线方式只需要直连即可,目标板的RST、TEST线路上不能有电容、上拉电路等器件。 图2:Signal Connections for 2-Wire JTAG Communication (Spy-Bi-Wire) 三、安装IAR软件: 首先安装IAR软件,安装好后 设备管理器中,端口下会识别到如下设备: 无须再次安装驱动即可直接使用仿真器 安装说明: 首先运行EW430-IAR-430-510.exe,点击下一步,直到出现下图对话框,要求输入license,

相关文档