文档库 最新最全的文档下载
当前位置:文档库 › DSP技术大作业

DSP技术大作业

DSP技术大作业
DSP技术大作业

DSP技术

大作业

姓名:

班级:

学号:

2014年12月

第1部分概述

1.1、DSP简介

DSP(digital signal processor)是一种独特的微处理器,是以数字信号来处理大量信息的器件。其工作原理是接收模拟信号,转换为0或1的数字信号,再对数字信号进行修改、删除、强化,并在其他系统芯片中把数字数据解译回模拟数据或实际环境格式。它不仅具有可编程性,而且其实时运行速度可达每秒数以千万条复杂指令程序,远远超过通用微处理器,是数字化电子世界中日益重要的电脑芯片。它的强大数据处理能力和高运行速度,是最值得称道的两大特色。 DSP芯片,也称数字信号处理器,是一种特别适合于进行数字信号处理运算的微处理器,其主要应用是实时快速地实现各种数字信号处理算法。根据数字信号处理的要求,DSP芯片一般具有如下主要特点:

(1)在一个指令周期内可完成一次乘法和一次加法;

(2)程序和数据空间分开,可以同时访问指令和数据;

(3)片内具有快速RAM,通常可通过独立的数据总线在两块中同时访问;(4)具有低开销或无开销循环及跳转的硬件支持;

(5)快速的中断处理和硬件I/O支持;

(6)具有在单周期内操作的多个硬件地址产生器;

(7)可以并行执行多个操作;

(8)支持流水线操作,使取指、译码和执行等操作可以重叠执行。

当然,与通用微处理器相比,DSP芯片的其他通用功能相对较弱些。

1.2、DSP的发展

世界上第一个单片DSP芯片是1978年AMI公司宣布的S2811,1979年美国Iintel公司发布的商用可编程器件2920是DSP芯片的一个主要里程碑。这两种芯片内部都没有现代DSP芯片所必须的单周期芯片。1980年,日本NEC公司推出的μPD7720是第一个具有乘法器的商用DSP 芯片。第一个采用CMOS工艺生产浮点DSP芯片的是日本的Hitachi 公司,它于1982年推出了浮点DSP芯片。1983年,日本的Fujitsu公司推出的MB8764,其指令周期为120ns ,且具有双内部总线,从而处理的吞吐量发生了一个大的飞跃。而第一个高性能的浮点DSP芯片应是AT&T公司于1984年推出的DSP32。

在这么多的DSP芯片种类中,最成功的是美国德克萨斯仪器公司(Texas Instruments,简称TI)的一系列产品。TI公司在1982年成功推出启迪一代DSP芯片TMS32010及其系列产品TMS32011、TMS32C10/C14/C15/C16/C17等,之后相继推出了第二代DSP芯片TMS32020、TMS320C25/C26/C28,第三代DSP 芯片TMS32C30/C31/C32,第四代DSP芯片TMS32C40/C44,第五代DSP芯片TMS32C50/C51/C52/C53以及集多个DSP于一体的高性能DSP芯片TMS32C80/C82等。

自1980年以来,DSP芯片得到了突飞猛进的发展,DSP芯片的应用越来越广泛。从运算速度来看,MAC(一次乘法和一次加法)时间已经从80年代初的400ns (如TMS32010)降低到40ns(如TMS32C40),处理能力提高了10多倍。DSP芯片内部关键的乘法器部件从1980年的占模区的40左右下降到5以下,片内RAM 增加一个数量级以上。从制造工艺来看,1980年采用4μ的N沟道MOS工艺,而现在则普遍采用亚微米CMOS工艺。DSP芯片的引脚数量从1980年的最多64个增加到现在的200个以上,引脚数量的增加,意味着结构灵活性的增加。此外,DSP芯片的发展,是DSP系统的成本、体积、重量和功耗都有很大程度的下降。

第2部分硬件结构及硬件系统

TMS320C54x(简称’C54x)是TI公司为实现低功耗、高速实时信号处理而专门设计的16位定点数字信号处理器,采用改进的哈佛结构,具有高度的操作灵活性和运行速度,适应于远程通信等实时嵌入式应用的需要,现已广泛地应用于无线电通信系统中。

TMS320C54x的硬件结构主要分为以下几块:

2.1 cpu

(1)采用先进的多总线结构,通过1组程序总线、3组数据总线和4组地址总线来实现。

(2) 40位算术逻辑运算单元ALU,包括1个40位桶形移位寄存器和2个独立的40位累加器。

(3)17×17位并行乘法器,与40位专用加法器相连,可用于进行非流水线的单周期乘法-累加运算。

(4)比较、选择、存储单元(CSSU),用于加法、比较、选择运算。

(5)指数编码器,是一个支持单周期指令EXP的专用硬件,可以在单个周期内计算40位累加器中数值的指数。

(6)双地址生成器,包括8个辅助寄存器和2个辅助寄存器算术运算单元(ARAU)。

2.2存储器

(1)可访问的最大存储空间为192K×16位,即64K字的程序存储器、64K 字的数据存储器以及64K字的I/O空间。

(2)片内ROM,可配置为程序存储器和数据存储器。

(3)片内RAM有两种类型,即双寻址RAM(DARAM)和单寻址RAM(SARAM)。

2.3片内外设

(1)软件可编程等待状态发生器。

(2)可编程分区切换逻辑电路。

(3)带有内部振荡器或用外部时钟源的片内锁相环时钟发生器。

(4)支持全双工操作的串行口,可进行8位或16位串行通信。

(5)片内的串行口根据型号不同可分为4种:单通道同步串行口(SP)、带缓冲器单通道同步串行口(BSP)、并行带缓冲器多通道同步串行口(McBSP)、时分多通道带缓冲器串行口(TMD)。处理器不同串行口配置也不尽相同。

(6)可与主机直接连接的8位或16位并行主机接口(HPI)。

(7)16位可编程定时器。

(8)6通道直接存储器访问(DMA)控制器。

(9)外部总线关断控制,以断开外部的数据总线、地址总线和控制信号。

(10)数据总线具有总线保持特性。

TMS320C54x DSP的内部硬件结构如下图所示

第3部分指令系统

’C54x指令系统有两种分类方法:

1、按指令的功能分类

2、按指令所要求的周期分类

其中按指令的功能可将’C54x指令系统分为4大类,下面分别对这4大类指令系统做简单介绍。

(1)算术运算指令

算术运算指令是实现数学计算的重要指令集合。’C54x的算术指令具有运算功能强、指令丰富等特点。

包括:加法指令(ADD)

减法指令(SUB)

乘法指令(MPY)

乘法-累加/减指令(MAC/MAS)

双字运算指令(DADD)

特殊用途指令

○1加法指令共有13条如下图所示:

○2减法指令也包括13条如下图所示

○3乘法指令

乘法指令共10条如下图所示

(2)逻辑运算指令

逻辑运算指令包括与指令(AND),或指令(OR),异或指令(XOR),移位指令(ROL)和测试指令(BITF)

○1与指令

与指令有5条如下图所示

○2或指令

或指令有5条如下图所示

(3)程序控制指令

程序控制指令包括分支指令(B,BC),调用指令(CALL),中断指令(INTR,TRAP),返回指令(RET),重复指令(RPT),堆栈操作指令(FRAME,POP),其它程序控制指令(IDLE,NOP)

○1分支指令

分支指令有6条如下图所示

○2调用指令

调用指令有6条如下图所示

(4) 加载和存储指令

加载和存储指令包括一般的加载和存储指令(LD,ST),条件存储指令(CMPS,SACCD),并行的读取和乘法指令(LD‖MAC),并行的读取和存储指令(LD‖ST),并行的存储和乘法指令(ST‖MAC),并行的读取和加减指令(LD‖ADD,LD‖SUB)以及其他读取类型和存储类指令(MVDD,PORTW,READA)

○1.加载指令

加载指令共21条,见下图

○2存储指令

存储指令有14条如下所示

第4部分软件开发及CCS集成开发环境

CCS开发流程如下所示:

一、创建一个新工程

1、启动CCS集成开发环境。

2、从Project菜单中选择New命令。

3、Project Name 填volume1 。

4、Location 填1步创建的那个新文件夹。

5、Project Type 选择Executable (.out).

6、Target 选择你的目标芯片比如TMS320C28XX,然后按“Finish”按钮完成创建一个新工程的任

务。

CCS将创建一个项目文件“volume1.pjt”,该文件保存项目设置,并引用项目中的其它文件。

二、在项目中添加文件

1、执行“Project-->Add Files to Project”命令,选择“volume.c”文件,单击“Open”按钮,这样就把文件加入到项目中了。

2、执行“Project-->Add Files to Project”命令,在打开的对话框中,文件类型选择汇编语言源文件类型即“(*.a*)”,选择“vectors.asm”和“load.asm”两个文件, 单击“Open”按钮,这样就把这两个文件加入到项目中了。

3、执行“Project-->Add Files to Project”命令,在打开的对话框中,文件类型选择连接器命令文件类型即“(*.cmd, *.lcf)”,选择“volume.cmd”文件,单击“Open”按钮,这样就把这个文件加入到你的项目中了。该文件包含内存布局的相关信息。

4、执行“Project-->Add Files to Project”命令,在打开的对话框中,首先导航到编译库文件

夹“(C:\CCStudio_v3.3\c2000\cgtools\lib)”,文件类型选择目标文件和库文件类型即“(*.o*, *.l)”,选择和目标芯片相适应的TI实时支持库(run-time support library )文件,对于模拟C28xx目标芯片可选择“rts2800_ml.lib”,单击“Open”按钮,这样就把这个文件加入到你的项目中了。这个库文件

将为目标芯片提供实时支持。

5、鼠标右键单击“volume1.pjt”,在弹出的菜单中选择“Scan All File Dependencies”命令,

再仔细观察,“Include”文件夹左边多了一个“+”号,单击“+”号展开“Include”文件夹,发现“volume.h”文件被自动添加到工程里面了。所以说.h文件不必手动添加,CCS会自动发现并添加它们。即使不经过第5步,在编译一遍工程以后CCS仍然会自动添加.h文件到工程中。

如果想从工程中移除某个文件,就在项目视图中右键单击该文件,在弹出菜单中选择“Remove from

Project ”命令即可。该文件被从项目中移除,但并不从磁盘上删除。

在编译程序的过程中,CCS寻找文件时按照以下顺序在相应的目录中查找:

1、包含源文件的路径。

2、编译器或汇编器的“Include Search Path”选项指定的路径(指定多个路径时按从左至右顺序)。

三、编译并运行程序

1、执行“Project-->Rebuild All”命令,CCS开始编译程序,底部的信息窗口将显示编译信息。

2、默认情况下,编译结果生成的.out文件被保存到工程目录的“Debug”文件夹下。

3、执行“File-->Load Program”命令,在弹出对话框内找到编译生成的V olume1.out文件,单

击“打开”按钮,CCS就会把这个文件加载到目标DSP芯片上,同时打开一个反汇编窗口,窗口上显示

了V olume1.out文件的汇编指令。

4、在反汇编窗口中,单击汇编指令的助记符部分,按F1键即可打开关于该指令的帮助信息窗口,

对于不熟悉的汇编指令来说,这是一个很好的获取帮助信息的方法。

5、执行“Debug-->Go Main”命令,程序开始执行并停止到main函数的位置,仔细观察可以发现

在源文件volume1.c和它的反汇编窗口里面都有一个有颜色的箭头指向main函数开始的位置。

6、执行“Debug-->Run”命令,程序又继续执行,这时你应该在底部的Stdout 窗口中发现main函

数发出的信息“volume example started”。

7、执行“Debug-->Halt”命令,程序停止运行。

8、“View-->Mixed Source/ASM ”命令是个切换命令,可以决定是否让C 源代码程序中混合显示

相应的汇编命令。为了进行下一项内容,我们需要把该命令调整到不混合显示的状态。

第5部分DSP应用实例

<>

TI 公司的定点通用数字信号处理器TMS320LF2407A 以其强大的功能及优秀的性能价格比而得到了广泛的应用,为了采用单片机进行控制和信息交流,需要设计一个简单的接口,串行接口与并行接口相比,其最大的优点就是减少了使用DSP的引脚数目,降低了接口设计的复杂性。同时充分利用DSP多功能串行接口和DMA搬移数据的能力,使DSP在处理串口通信时不会占用太多的处理时间,节约了DSP的资源。

串行通信接口电路设计简单、容易实现, 适合通信距离稍远、数据交换不多、传输速率要求不高的场合; 并行通信接口电路设计稍复杂, 时序配合要求严格, 但传输速率高,适合通信距离短、传输速率要求较高的场合。本文要讨论的是单片机89C51 与TM S320LF2407A DSP 之间的通信,并提出了一种简单可行的串行通信方式。

设计复杂双CPU 系统的关键是两者之间的接口与数据通信问题。针对单片机89C51 与TMS320LF2407A 构成的双CPU 系统, 本文提出了一种简单可行的串行通信方式。本文不仅介绍了两者之间的硬件连接示意图, 而且完成了两者通信的初始化设置, 并且给出了完整的串行通信的软件流程图。

硬件设计方面

89C51单品机有两个功能强打的全双工串行口可以同时接收和发送数据。串行口主要由2个物理上独立的串行数据缓冲器SBUF.发送控制器。接收控制器。输入移位寄存器和输出控制门组成。串行口是可以编程的接口, 可以通过指令对SBUF 的读写来区别是对发送缓冲器还是接收缓冲器的操作。

串行口的4种工作方式中,串行通信只使用方式1、2、3。方式0主要用于扩展并行输入输出口。

下面只介绍方式1。

在方式1状态下,串行口为8位异步通信接口。一帧信号为10位:1位起始位(0),8位数据(低位在先)和1位停止位(1)。TXD为发送位,RXD为接收端。波特率不变。

T MS320LF2407A DSP 内部有串行外设接口SPI 和异步串行接口SCI。SPI 总线是一种三线( 即时钟、发送和接收) 同步总线, 硬件功能很强。SCI 是一个标准的通用异步接受/ 发送( UART) 通信接口, 支持DSP 和其他使用标准NRZ( 非归零) 格式的异步外设之间的异步串行数字通信,数据的传送只需要两根线,

在硬件电路方面更容易设计实现。

在本系统中, 利用SCI 实现单片机与DSP 通信的原理示意图如下所示。

单片机与DS P 通信的原理示意图

其中MAX232A 是RS- 232C 双工发送器/ 接收器接口芯片。该芯片与TTL/ CMOS 电平兼容, 使用比较方便。SCIRXD、SCITXD分别是TMS320LF2407A DSP 的SCI 接收数据引脚和发送数据引脚; RXD、TXD 分别是单片机89C51 的串行口输入端和串行口输出端。

软件设计方面

要实现串行异步通信,收发双方必须进行初始化设置,规定好字符格式,波特率等。单片机对串行口的初始化可通过将控制字写入串行口控制寄存器SCON 和电源控制寄存器PCON 进行。把单片机设置成工作方式1,接收允许。

T MS320LF2407A DSP 利用SCI 与单片机89C51 进行通信的软件流程下图所示

参考文献

[1]林立,张俊亮.单片机原理及应用-基于Proteus 和Keil C[M]. 北京:电子工业出版社,2012.

[2]贾志成,王宝珠.DSP技术原理及应用教程[M].北京:北京航空航天大学出版社,2012.

[3]李拥军,杨龙,安吉宇.DSP原理及控制系统设计[M].北京:清华大学出版社,2012.

[4](美)德州仪器著,彭启琮,张诗雅,常冉等编译. TI DSP集成化开发环境(CCS)使

用手册[M].北京:清华大学出版社,2005.

[5]丁玉美,高西全.数字信号处理器[M].西安:西安电子科技大学出版社,2004.

期刊文章附于此

DSP上机大作业

DSP上机实验报告

实验一: VISUAL DSP++的使用入门 1.实验一的目的 实验一的主要目的是熟悉VISUAL DSP++的开发环境。针对ADSP-21065L SHARC DSP,利用几个用C、C++和汇编语言写成的简单例子来描述VISUAL DSP+十编程环境和调试器(debugger)的主要特征和功能。 2.实验一的4个基本练习 练习一: 启动Visual DSP++,建立一个用C源代码的工程(Project),同时用调试器来评估用C语言所编写代码的性能; 练习二: 创立一个新的工程,修改源码来调用一个汇编(asm)程序,重新编译工程,用调试器来评估用汇编语言所写程序的性能; 练习三: 利用调试器的绘图(plot)功能来图形显示一个卷积算法中的多个数据的波形; 练习四: 利用调试器的性能统计功能(Statistical profile来检查练习三中卷积算法的效率。利用所收集到的性能统计数据就能看出算法中最耗时的地方。 3.实验步骤: (1)练习一实验步骤: Step l 进入Visual DSP+十并打开一个工程(Project) 进入Visual DSP++,显示Visual DSP++的集成开发和调试环境窗口(Integrated Development and Debugger Environment,简称IDDE)。 选择菜单File 中Open 打开文件: …DSP_exp\unit_1\dot_product_c \dotprodc.dpj。 Dotprodc工程由定义数组和计算数组点积和的两个C语言源文件dotprod_main.c(主程序)和dotprod.c(子程序)以及一个描述程序和数据存储位置的链接描述文件dotprodc.ldf。 Step 2 编译dotprodc工程 在菜单Project中选择Build Project来对工程进行编译。此时,输出窗口显示程序编译时的各种状态信息(包括出错和编译进程信息)。当编译检测到错误时,将在输出窗口出现相应的出错信息,用鼠标双击它,编译器将自行打开源文件。这时可对源文件编辑、修改错误,再次进行编译。当编译不再有错时,输出窗口将显示“Build completed successfully”。

DSP作业1

DSP 练习题 1.举几个DSP应用的例子,并说明DSP在系统中承担的任务。 DSL IP HV AC Modem。 2.简述数字信号处理器从哪几个方面提高做数字信号处理的能力。 3.TI公司的DSP的系列是怎样划分的,它们的主要应用领域是什么?列举代表型号,及 它们的主要指标。 4.TMS320C5509 片内有多少ROM和RAM?定位于何处? 5.比较TMS320C55x 系列DSP和已学过的单片机和通用微处理器的结构和指令系统的特 点。 6.说明定点处理器和浮点处理器的优缺点。 7.说明处理器芯片中含有的JTAG接口的作用。 8.编写C语言程序计算:z=x/y,其中-1

dsp大作业

一、简答题:(要求:手写,须写出各题必要的知识点,本大题共30分,每小题5分。) 1、可编程DSP芯片有那九大特点? 2、TMS320C54x芯片的流水线操作共有多少个操作阶段,每个阶段执行什么任务,完成一条指令需要那些操作周期? 3、DSP系统硬件设计过程都有那些步骤?

4、TMS320C54x的数据寻址方式各有什么特点,应该应用在什么场合场所? 5、链接器能完成什么工作?链接器命令文件中,MEMORY命令和SECTION命令的任务是什么? 6、什么是“自举”? 二、分析题:(要求:手写,结果需要有一定的分析计算过程,本大题共55分,每小题5分。)

1、已知:(80H)= 20H,(81H)= 30H。 LD #0, DP LD 80H, 16,B ADD 81H, B 运行以上程序后,DP、B分别等于多少? 2、回答标准串行口数据的发送和接收过程。 3、已知:A = FFFD876624, T = 0000,则运行EXP A指令后,A和T各为多少? 4、已知:B = 420D0D0D0D, T = FFF9,则运行NORM B指令后,B和T各为多少? 5、在不含循环的程序中,RPTZ #9语句和其前一句、后一句以及后第二句各运行几次? 6、说明语句: STM #0080H, IMR的功能?

7、已知中断向量TINT = 014H,中断向量地址指针IPTR = 0111H,求中断向量地址是多少? 8、已知(30H)=50H,AR2=40H,AR3=60H,AR4=80H MVKD 30H, *AR2 MVDD *AR2, *AR3 MVDM *AR3, *AR4 运行以上程序后,(30H),(40H)、*AR3,AR4的值分别是多少? 9、在堆栈操作中,PC当前地址为4020h,SP当前地址为0013h,运行PSHM AR7后,PC和SP的值分别是多少? 10、请仔细分析下列程序代码,并说明每句程序代码的作用。 sample.out -m sample.map -stack 100 sample.obj meminit.obj -l rts.lib MEMORY { PAGE 0: VECT: origin = 0xff80, length 0x80 PAGE 0: PROG: origin = 0x2000, length 0x400 PAGE 1: DATA: origin = 0x800, length 0x400 } SECTIONS {

14_DSP技术原理及应用教程_课后答案

1 .1 数字信号处理器与一般通用计算机和单片机的主要差别有哪些? 答:在通用的计算机上用软件实现该方法速度太慢, 适于算法仿真; 在通用计算机系统上加上专用的加速处理机实现该方法专用性较强,应用受限制,且不便于系统 的独立运行; 用通用的单片机实现这种方式多用于一些不太复杂的数字信号处理,如简单的PID控制算法; 用通用的可编程DSP芯片实现与单片机相比,DSP芯片具有更加适合于数字信号处理的软件及硬件资源,可用于复杂的数字信号处理算法; 用专用的DSP芯片实现在一些特殊场合, 要求信号处理速度极高, 用通用的DSP 芯片很难实现,而专用的DSP 芯片可以将相应的信号处理算法在芯片内部用硬件实现,不需要编程。 1 .4 什么是冯·诺埃曼结构计算机, 什么是哈佛结构计算机, 二者的特点是什么? 答:冯.诺曼结构:将指令、数据存储在同一个存储器中,统一编址,译稿指令计数器提供的地址来区分是指令还是数据。取指令和取数据都访问统一存储器,数据吞吐率低。 哈佛结构:程序和数据存储在不同的存储空间,程序存储空间和数据存储空间是两个相互独立的存储空间,每个存储空间独立编址,独立访问。 1 .8 DSP的工作电压越来越低,内核电压已低至1V,这样做有何意义?为什么DSP内核工作电压和I/O工作电压不一样? 答:集成电路速度越来越快,随之而来,功耗越来越大,这样散热就是很大的问题.在芯片走线尺寸不变的情况下,内部阻抗也不变,降低工作电压会降低功耗,这样能再较高频率下芯片发热较少。 内核不容易受到外部干扰,所以电压可以做的较低,但IO容易受外部信号干扰,保持较高电压容易是器件工作稳定,这是功耗和稳定性的折中。 1 .10 定点DSP和浮点DSP有什么区别?在具体应用中, 应如何选择? 答:在浮点DSP中,数据即可以表示成整数,也可以表示成浮点数。浮点数在运算中,表示数的范围由于其指数可自动调节,因此可避免数的规格化和溢出等问题。但浮点DSP 一般比定点DSP 复杂, 成本也较高。 在定点DSP中, 数据采用定点表示方法。它有两种基本表示方法:整数表示方法和小数表示方法。整数表示方法主要用于控制操作、地址计算和其他非信号处理的应用, 而小数表示方法则主要用于数字和各种信号处理算法的计算中 2 .4 当要使用硬中断INT3作为中断响应矢量时,请问可屏蔽中断寄存器IMR和中断标志寄存器IFR应如何设置? 答:IFR中INT3位=1,IMR中INT3位=1,使能中断。2 .5 若处理器方式寄存器PMST的值设为01A0H,而中断矢量为INT3,那么在中断响应时, 程序计数器指针PC的值为多少? 答:PMST中IPTR=(000000011)b,int3中断向量号为24H,做移量为后变为60H,则中断响应时程序计数器指针PC=01E0H. 2 .10 DSP如何与不同速度的片外存储器及其他外设进行数据交换? 答:软件可编程等待状态发生器可以将外部总线周期扩展到7个机器周期,以使’C54x能与低速外部设备接口。而需要多于7个等待周期的设备,可以用硬件READY线来接口。 2 .11 TMS320C54x可进行移位操作,它的移位范围是多少? 答:’C54x的移位操作最多可以左移31位,或右移16位。(-16~31) 2 .1 3 为什么说应尽量利用DSP的片内存储器? 答:与片外存储器相比,片内存储器不需要插入等待状态,因此成本低,功耗小。 2 .14 如何操作通用I/ O 引脚XF和BIO? 答:XF信号可以由软件控制。通过对STl中的XF位置1得到高电平,清除而得到低电平。对状态寄存器置位的指令SSBX和对状态寄存器复位的指令RSBX可以用来对XF置位和复位。同时XF引脚为高电平和低电平,亦即CPU向外部发出1和0信号。 程序可以根据BIO的输入状态有条件地跳转,可用于替代中断。条件执行指令(XC)是在流水线的译码阶段检测BIO的状态,其它条件指令(branch、call和return)是在流水线的读阶段检测BIO 的状态的。 4 .1 写出汇编语言指令的格式, 并说明应遵循怎样的规则? 答:助记符指令格式: [标号][:] 助记符[操作数列表] [;注释] 代数指令格式: [标号][:] 代数指令[;注释] 应遵循下列规则: ①语句的开头只能是标号、空格、星号或分号。 ②标号是可选项,如果使用,必须从第一列开始。 ③每个域之间必须由一个或多个空格来分开。制表符等同于空格的作用。

DSP作业(精)

DSP的 数字信号处理(Digital Signal Processing,简称DSP)是一门涉及许多学科而又广泛应用于许多领域的新兴学科。DSP有两种含义:Digital Signal Processing(数字信号处理)、Digital Sign al Processor(数字信号处理器)。我们常说的DSP指的是数字信号处理器。数字信号处理器是一种适合完成数字信号处理运算的处理器。20世纪60年代以来,随着计算机和信在过去的二十多年时间里,数字信号处理已经在通信等领域得到息技术的飞速发展,数字信号处理技术应运而生并得到迅速的发展。极为广泛的应用。数字信号处理是利用计算机或专用处理设备,以数字形式对信号进行采集、变换、滤波、估值、增强、压缩、识别等处理,以得到符合人们需要的信号形式。 数字信号处理是围绕着数字信号处理的理论、实现和应用等几个方面发展起来的。数字信号处理在理论上的发展推动了数字信号处理应用的发展。反过来,数字信号处理的应用又促进了数字信号处理理论的提高。而数字信号处理的实现则是理论和应用之间的桥梁。数字信号处理是以众多学科为理论基础的,它所涉及的范围极其广泛。例如,在数学领域,微积分、概率统计、随机过程、数值分析等都是数字信号处理的基本工具,与网络理论、信号与系统、控制论、通信理论、故障诊断等也密切相关。近来新兴的一些学科,如人工智能、模式识别、神经网络等,都与数字信号处理密不可分。可以说,数字信

号处理是把许多经典的理论体系作为自己的理论基础,同时又使自己成为一系列新兴学科的理论基础。顾名思义,DSP主要应用在数字信号处理中,目的是为了能够满足实时信号处理的要求,因此需要将数字信号处理中的常用运算执行的尽可能快,这就决定了DSP的特点和关键技术。适合数字信号处理的关键技术:DSP包含乘法器、累加器、特殊地址产生器、领开销循环等;提高处理速度的关键技术:流水线技术、并行处理技术、超常指令(VLIW)、超标量技术、DMA等。从广义上讲,DSP、微处理器和微控制器(单片机)等都属于处理器,可以说DSP是一种CPU。DSP和一般的CPU又不同,最大的区别在于:CPU是冯.诺伊曼结构的;DSP是数据和地址空间分开的哈佛结构。 世界上第一个单片 DSP 芯片应当是1978年 AMI公司发布的 S2 811,1979年美国Intel公司发布的商用可编程器件2920是DSP芯片的一个主要里程碑。这两种芯片内部都没有现代DSP芯片所必须有的单周期乘法器。1980 年,日本 NEC 公司推出的μP D7720是第一个具有乘法器的商用 DSP 芯片。在这之后,最成功的DSP 芯片当数美国德州仪器公司(Texas Instruments,简称TI)的一系列产品。TI 公司在1982年成功推出其第一代 DSP 芯片 TMS32010及其系列产品TMS32011、TMS320C10/C14/C15/C16/C17等,之后相继推出了第二代DSP芯片TMS32020、TMS320C25/C26/C28,第三代DSP芯片TMS 320C30/C31/C32,第四代DSP芯片TMS320C40/C44,第五代 DSP 芯片TMS320C5X/C54X,第二代DSP芯片的改进型TMS320C2XX,集多片DSP芯片于一体的高性能DSP芯片TMS320C8X以及目前速度最快的第

DSP大作业快速傅立叶变换实验与设计

DSP 原理及应用 大作业 ——快速傅立叶变换 专业:XXXX 姓名:XXX 学 号:08201081XX 指导老师: XX 时间:2XXXX 快速傅立叶变换(FFT )实验 一、设计目的 1.在理论学习的基础上,通过本实验,加深对FFT的理解,熟悉FFT子程序。 2.熟悉应用FFT对典型信号进行频谱分析的方法 3?了解应用FFT进行信号频谱分析过程中可能出现的问题以便在实际中正确应用FFT。 4.掌握用窗函数法设计FFT快速傅里叶的原理和方法; 5 ?熟悉FFT快速傅里叶特性; 二、所需设备

PC 兼容机一台,操作系统为Windows2000(或Windows98 , WindowsXP,以下 默认为Windows2000),安装Code Composer Studio 2.0 软件。 三、设计内容 本试验要求使用FFT变换求一个时域信号的频域特性,并从这个频域特性求出该信号的频 率值。使用c语言实现对FFT算法的仿真,然后使用DSP汇编语言实现对FFT 的DSP 编程。本实验采用软件仿真,不需设置硬件。 四、设计原理 在各种信号序列中,有限长序列信号处理占有很重要地位,对有限长序列,我 们可以使用离散Fouier变换(DFT)。这一变换不但可以很好的反映序列的频谱特性,而且易于用快速算法在计算机上实现,当序列x(n)的长度为N时,它的DFT N 1 1 N-1 X(k)=》x(nW,n⑷x(n)=石送X(kW「n 定义为:心,W N =e反换为:N心有限长序 列的DFT是其Z变换在单位圆上的等距采样,或者是序列Fourier变换的等距采样,因此可以用于序列的谱分析。 FFT并不是与DFT不同的另一种变换,而是为了减少DFT运算次数的一种快速算法。它是对变换式进行一次次分解,使其成为若干小点数的组合,从而减少运算量。常用的FFT是以2为基数的,其长度N=2L,它的效率高,程序简单使用非常方便,当要变换的序列长度不等于2的整数次方时,为了使用以2为基数的FFT,可以用末位补零的方法,使其长度延长至2的整数次方。 在运用DFT进行频谱分析的过程中可能产生几种问题:⑴混叠 序列的频谱时被采样信号的周期延拓,当采样速率不满足Nyquist定理时, 就会发生频谱混叠,使得采样后的信号序列频谱不能真实的反映原信号的频谱。 避免混叠现象的唯一方法是保证采样速率足够高,使频谱混叠现象不致出现,即在确定采样频率之前,必须对频谱的性质有所了解,在一般情况下,为了保证高于折叠频率的分量不会出现,在采样前,先用低通模拟滤波器对信号进行滤波。 ⑵泄漏 实际中我们往往用截短的序列来近似很长的甚至是无限长的序列,这样可以使用较短的DFT来对信号进行频谱分析,这种截短等价于给原信号序列乘以一个矩形窗函数,也相当于在频域将信号的频谱和矩形窗函数的频谱卷积,所得的频谱是原序列频谱的扩展。 泄漏不能与混叠完全分开,因为泄漏导致频谱的扩展,从而造成混叠。为了减少泄漏的影响,可以选择适当的窗函数使频谱的扩散减至最小。 DFT是对单位圆上Z变换的均匀采样,所以它不可能将频谱视为一个连续函数,就一定意义上看,用DFT来观察频谱就好像通过一个栅栏来观看一个图景一样,只能在离散点上看到真实的频谱,这样就有可能发生一些频谱的峰点或谷点被尖桩的栅栏”所拦住,不能别我们观察到。 减小栅栏效应的一个方法就是借助于在原序列的末端填补一些零值,从而 变动DFT的点数,这一方法实际上是人为地改变了对真实频谱采样的点数和位置,相当于搬动了每一根尖桩栅栏”的位置,从而使得频谱的峰点或谷点暴露出来。

DSP原理及其应用技术_课程设计_报告

郑州航空工业管理学院 电子通信工程系 DSP原理及应用课程设计报告 设计题目:基于TMS320F2812 DSP微处理器的最小系统设计 学号:********** 专业:电子信息工程专业 设计日期:2012年6月14日 指导老师:赵成陈宇

设计任务 1、利用Protel软件绘制并添加TMS320F2812的原理图库; 2、利用Protel软件绘制TMS320F2812最小系统的电路原理图,包括时钟电路模块,电源模块、复位电路模块、JTAG接口模块; 3、安装最小系统电路,在CCS下建立工程,编译并将其下载到TMS320F2812最小系统中运行。 相关设备 PC机,CCS集成开发环境,最小系统电路板及元件,XDS510仿真调试器,外用表,示波器,稳压电源。 设计原理 TMS320F2812 DSP微处理器属于通用可编程微处理器,在应用时涉及硬件电路设计及软件设计,在理论课部分,主要是了解了F2812的体系架构及软件开发的相关知识,在具体使用时,需要绘制电路原理图及版图。 TMS320F2812 DSP微处理器运行的基本环境包括时钟电路、电源电路、复位电路及JTAG接口调试电路等,为了便于测试系统的运行情况,一般在其外围直接设计串口通信电路及相关的测试电路,这里即在外围配置了XF及串口通信电路。 可以使用Protel或其他电路版图设计软件绘图,其中需要用到学习过的F2812的封装、管脚分布、时钟电路、复位电路等知识。 可以参考教材附录部分的电路原理图。 通过F2812最小电路的设计,可以将理论与实践统一联系,更深入地理解F2812的开发方法。 应用基础 能使用Protel设计电路原理图; 了解F2812硬件的相关知识及电路设计; 能使用CCS建立并调试DSP工程。 设计报告 在课程设计的最后一次指导课上提交打印版。 目录 一、设计的目的和意义…………………………………………………………………3页 二、CCS软件概述………………………………………………………………………3页

DSP作业

DSP 作业 1.DSP 芯片有哪些主要特点? 答:DSP 的主要特点有: 1.哈佛结构 2.多总线结构 3.流水线结构 4.多处理单元 5特殊的DSP 指令 6.指令周期短 7.运算精度高 8.硬件配置强。 2.简述典型DSP 应用系统的构成。 答:输入信号首先进行带限滤波和抽样,然后进行数模变换将信号变换成数字比特流,根据奈奎斯特抽样定理,对低通模拟信号,为保持信号的不丢失,抽样频率至少必须是输入带限信号最高频率的2倍。 输入 输出 输出 3.简述DSP 应用系统的一般设计开发过程。如何选择DSP 芯片? 答:DSP 应用系统的一般开发过程有:系统需求说明;定义技术指标;选择DSP 芯片及外围芯片;软件设计说明、软件编程与测试;硬件设计说明、硬件电力与调试;系统集成;系统测试,样机、中试与产品。 DSP 芯片的选择:1.DSP 芯片的运算速度 2. DSP 芯片的价格 3. DSP 芯片的硬件资源(存储器、ADC 、PWM 等等) 4.DSP 芯片运算精度 5.芯片开发工具:软件 硬件 6..DSP 芯片功耗 7.其他:封装、应用场合、售后服务等。 4.常用的DSP 芯片有哪些? 答:C20x 、C24x 、C5x 、C54x 、C62xx 、C3x 、C4x 、C67xx 。 5.DSP 控制器的应用领域有哪些? 答:(1)信号处理:数字滤波、快速FFT 、相关运算、谱分析、自适应铝波、卷积、模式匹配、加窗、波形产生等。 (2)通信:调制解调器、数据压缩、回拨抵消、多路复用、传真、自适应均衡、数据加密、扩频通信、纠错编码、可视电话等。 (3)语言:语音邮件、语音存储、语音编码、语音合成、语音识别、语音增强、说话人辨认、说话人确认等。 (4)图形/图像:图像增强、动画、机器人视觉、二维/三维处理器、图像压缩与传输等。 (5)军事:导航、雷达处理、声纳处理、导弹制导等。 抗混叠滤波 A/D DSP 芯片 D/A 平滑滤

DSP大作业修改过后

题目温度采集分析系统设计 学生姓名 学号 专业电子信息工程 指导教师 时间 2018.1.1

摘要:本课题设计基于TMS320F28335型号DSP的高速度、宽范围、高精度的温度采集系统方案。系统以TMS320F28335为控制核心,通过测温电路采集温度数据,经AD转换后给DSP 控制器,通过FIR滤波器计算出温度值,DSP通过RS232接口上传温度值到电脑上位机显示温度,通过LCD12864显示温度及时间,重点介绍AD转换接口电路以及系统控制软件的设计过程。 一、功能设计要求 设计一个电池供电野外温度采集分析系统,功能包括: 1.每小时采集环境温度10次,进行FIR滤波 2.每天通过串口发送单天平均气温 3.有三个按键:K1切换温度/时间显示。K2、K3修改时间,K2=time+,K3=time- 4.当电池电压低于安全值时,发送报警信息 二、硬件设计 1.系统方案: 该系统包括温度采集电路模块、TMS320F28335芯片、A/D转换部分和LCD液晶显示,首先要初始化A/D转换模块,然后等待中断,当产生中断后对采集到的模拟信号进行处理,并通过低频率的FIR滤波后得到一天的温度输出,为确保转换精度要进行多次取值求平均,转换结果放在结果寄存器的高12位上,通过编程将处理后的温度值送到LCD上进行显示。设计采用热敏电阻PT100组成的温度采集电路,利用热敏电阻输出电压值与温度间的函数关系式,检测温度的变化;然后将采集的温度送入TMS320F28335的片上A/D,将电压转换为数字信号,并通过低频率的FIR滤波后得到一天的温度输出;最后通过LCD12864显示结果。 图1 系统方案 2.主控方案:TMS320F28335主控芯片 控制芯片32位TMS320F28335芯片,该DSP芯片专门用于控制领域,最高可在150 MHz主频下工作,可进行双16 ×16乘加和32 ×32乘加操作,运算与控制速度快,并带有18 K×16位片上SRAM和128 K×16位片上FLASH;并带有两个事件管理模块,可以同

DSP大作业

无限冲激响应滤波器(IIR)算法及实现 姓名:徐旭日 学号:20130700332 专业班级:电子信息工程(2)班 指导老师:王忠勇 日期:2016/6/2

摘要:21世纪是数字化的时代,随着信息处理技术的飞速发展,数字信号处理技术逐渐发 展成为一门主流技术。相对于模拟滤波器,数字滤波器没有漂移,能够处理低频信号,频率特性可做成非常接近于理想的特性,且精度可以达到很高,容易集成等。这些优势决定数字滤波器的应用越来越广泛。数字滤波器是数字信号处理中最重要的组成部分之一,被广泛应用于语音图像处理、数字通信、谱分析、模式识别、自动控制等领域。本课题通过软件设计IIR数字滤波器,并对所设计的滤波器进行仿真:应用DSP集成开发环境—CCS调试程序,用TMS320F2812实现IIR数字滤波。具体工作包括:对IIR数字滤波器的基本理论进行分析和探讨。应用DSP集成开发环境调试程序,用TMS320F2812来实现IIR数字滤波。通过硬件液晶显示模块验证试验结果,并对相关问题进行分析。 关键词:数字滤波器;DSP;TMS320F2812;无限冲激响应滤波器(IIR)。 引言:随着数字化飞速发展,数字信号处理技术受到了人们的广泛关注,其理论及算法 随着计算机技术和微电子技术的发展得到飞速发展,被广泛应用于语音图像处理、数字通信、谱分析、模式识别、自动控制等领域。数字信号处理由于运算速度快,具有可编程的特性和接口灵活的特点,使得它在许多电子产品的研制、开发和应用中,发挥着重要的作用。采用DSP芯片来实现数字信号处理系统是当前发展的趋势。 在数字信号处理中,数字滤波占有极其重要的地位。滤波是信号处理中的一个重要概念。滤波分经典滤波和现代滤波。经典滤波的概念,是根据傅里叶分析和变换提出的一个工程概念。根据高等数学理论,任何一个满足一定条件的信号,都可以被看成是由无限个正弦波叠加而成。换句话说,就是工程信号是不同频率的正弦波线性叠加而成的,组成信号的不同频率的正弦波叫做信号的频率成分或叫做谐波成分。只允许一定频率范围内的信号成分正常通过,而阻止另一部分频率成分通过的电路,叫做经典滤波器或滤波电路。数字滤波是语音和图像处理、模式识别、谱分析等应用中的一个基本处理算法。在许多信号处理应用中用数字滤波器替代模拟滤波器具有许多优势。数字滤波器容易实现不同幅度和相位频率特性指标。用DSP芯片实现数字滤波除具有稳定性好、精度高、不受环境影响外,还具有灵活性好的特点。用可编程DSP芯片实现数字滤波可通过修改滤波器的参数十分方便的改变滤波器的特性。 原理: 1.无限冲激响应数字滤波器的基础理论。 利用模拟滤波器成熟的理论及其设计方法来设计IIR数字低通滤波器是常用的方法。 H s,再按照一 设计过程是:按照数字滤波器技术指标要求一个过渡模拟低通滤波器() a H s转换成数字低通滤波器函数H(z)。由此可见,设计的关键问题就 定的转换关系将() a H s转换成z平面上的H(z)。 是要找到这种关系,将s平面的() a H s从s平面转换到z平面的方法有多种,但工程上常用的是脉冲响 将系统函数() a 应不变法和双线性变换法。在课题中我们采用双线性变换法设计IIR数字低通滤波器。 通过采用非线性频率压缩的方法,将整个模拟频率轴压缩到±π/T之间,再用

DSP原理与应用技术-考试知识点总结

第一章 1、DSP系统的组成:由控制处理器、DSPs、输入/输出接口、存储器、数据传输网络构成。P2图1-1-1 2、TMS320系列DSPs芯片的基本特点:哈佛结构、流水线操作、专用的硬件乘法器、特殊的DSP指令、快速的指令周期。 3、哈佛结构:是一种将程序指令储存和数据储存分开的储存器结构。特点:并行结构体系,是将程序和数据存储在不同的存储空间中,即程序存储器和数据存储器是两个相互独立的存储器,每个存储器独立编址,独立访问。系统中设置了程序和数据两条总线,使数据吞吐率提高一倍。 4、TMS320系列在哈佛结构之上DSPs芯片的改进:(1)允许数据存放在程序存储器中,并被算数运算指令直接使用,增强芯片灵活性(2)指令储存在高速缓冲器中,执行指令时,不需要再从存储器中读取指令,节约了一个指令周期的时间。 5、冯诺依曼结构:将指令、数据、地址存储在同一存储器中,统一编址,依靠指令计数器提供的地址来区分是指令、数据还是地址,取指令和去数据都访问同一存储器,数据吞吐率低。 6、流水线操作:TMS320F2812采用8级流水线,处理器可以并行处理2-8条指令,每条指令处于流水线的不同阶段。 解释:在4级流水线操作中。取 指令、指令译码、读操作数、执 行操作可独立地处理,执行完全 重叠。在每个指令周期内,4条 不同的指令都处于激活状态,每 条指令处于不同的操作阶段。 7、定点DSPs芯片:定点格式工作的DSPs芯片。 浮点DSPs芯片:浮点格式工作的DSPs芯片。 (定点DSPs可以浮点运算,但是要用软件。浮点DSPs用硬件就可以)8、DSPs芯片的运算速度衡量标准:指令周期(执行一条指令所需时

DSP作业1-

1.举几个DSP应用的例子,并说明DSP在系统中承担的任务。 (1)通信:在蜂窝电话中,DSP协调各种芯片合理而快速的工作,并兼有开发和测试的功能。 (2)军事:在雷达图像处理中,使用DSP进行目标识别和实时飞行轨迹估计。 (3)家用电器:在高清晰数字电视中,采用DSP实现关键的MPEG2译码电路。 2.数字信号处理有哪几种实现方式,各有什么优缺点或特点? (1)利用X86处理器完成优点:处理器选择范围较宽,主板及外设资源丰富,有多种操作系统可供选择,开发、调试较为方便。缺点:数字信号处理能力不强,硬件组成较为复杂,系统体积、重量较大,功耗较高,抗环境影响能力较弱。 (2)利用通用微处理器完成优点:可选范围广,硬件组成简单,系统功耗低,适应环境能力强。缺点:信号处理的效率较低,内部DMA通道较少。 (3)利用可编程逻辑阵列(FPGA)进行实现优点:适合高速信号处理,具有专用数字信号处理结构。缺点:开发需要较深的硬件基础,调试困难。 (4)利用数字信号处理器实现优点:大规模集成性、稳定性好,精度高,可编程性,高速性能,可嵌入性,接口和集成方便。缺点:成本较单片机高,甚至高于PC机,DSP技术更新速度快,开发和调试工具不尽完善,不如PC机方式编程和修改方便。 (5)用ASIC芯片实现优点:集成程度高,简化系统结构。缺点:功能单一,一般用于大批量消费电子。 3.简述数字信号处理器从哪几个方面提高做数字信号处理的能力。 存储器及总线结构;流水线;硬件乘法累加单元;零开销循环,特殊的寻址方式;高效的特殊指令;丰富的运算类指令。 4.比较TMS320C55x 系列DSP和已学过的单片机和通用微处理器的结构和指令系统的特点。 与单片机及通用微处理器相比,DSP有以下特点:分工精细,部件更多,硬件配置强;DSP字长为15/16位,而单片机字长仅为8位,运算精度高;流水线结构使在数字信号处理中用的最多的乘法运算在一个时钟周期内完成,而51单片机需要更多个时钟周期;55系列DSP内有12条独立总线,大大提高了运算能力,能够完成更复杂的功能;指令系统丰富,尤其运算类指令很多,更适宜做DSP运算。 5.说明定点处理器和浮点处理器的优缺点。 定点处理器:价格较便宜,功耗较低,但运算精度稍低。浮点处理器:运算精度高,但价格稍贵,功耗也较大。 6.说明处理器芯片中含有的JTAG接口的作用。 JT AG接口是DSP的调试接口,可以利用JT AG接口完成程序的下载、调试和调试信息输出,通过该接口可以查看DSP的存储器、寄存器等的内容,如果DSP连接了非易失存储器,如Flash存储器,还可以通过JT AG接口完成芯片的烧录。 7.说明PLL的工作原理和作用,芯片内含有PLL有何优点? 作用:利用两个电信号的相位误差,通过环路自身调整作用,实现频率准确跟踪的系统。 原理:由鉴相器,环路滤波器和压控振荡器三个部件组成闭合系统,利用外部输入的参考信号控制环路内部振荡信号的频率和相位。 优点:芯片内含有PLL可以起到时钟倍频的作用。 8.举几个采用差分信号传输数据的例子? USB、RS485、网线、CAN总线、LV DS 9.C55x芯片上有哪些电源和地引脚,应该怎样连接这些引脚? 地引脚部分:VSS是数字地,为I/O和内核引脚接地;AVSS是模拟地,为10位A/D接地;ADVSS为10位A/D的数字部分接地;USBPLLVSS是数字地,为USB的PLL接地。电源引脚部分:CVDD:为CPU内核提供专用电源;DV DD:为USB模块的I/O引脚提供专用电源;AV DD :为10位的A/D提供专用电源;USBVDD:为USB模块的I/O引脚提供专用电源。数字电源和模拟电源分开接;电源要接去耦合电源。 10.TMS320C55x 存储空间结构是怎样的? C55x DSP的存储空间包括统一的数据/程序空间和I/O空间。数据空间用于访问存储器和内存映射寄存器,程序空间用于CPU从存储器中读取指令,而I/O空间用于CPU与片内(芯片没有IO选通引脚)外设寄存器之间的双向通信。 11.详细解释Smem,Xmem,dst,src,Cmem 的含义。 Smem:16位数据存储值;Xmem:双数据存储器访问;Cmem:系数间接寻址操作数;dst:目的操作数:累加器,或辅助寄存器的低16位,或临时寄存器;src:源操作数:累加器,或辅助寄存器的低16位,或临时寄存器。 12.分别解释*(AR2-T1), *(AR5+T0B)的含义。 *(AR2-T1):在生成地址后,AR2减去T1中16位带符号的常数。*(AR5+T0B):在生成地址之后,AR5加上T0中16位带符号的常数,按位倒序模式相加。 13.ADD Smem,dst 列出所有Smem可能的具体形式。

西工大DSP大作业

西工大DSRt作业

实验1基于CCS的简单的定点DSF程序 一、实验要求 1、自行安装CCS3.3版本,配置和运行CCS 2、熟悉CCS开发环境,访问读写DSP勺寄存器AC0-AC3 AR0-AR7, PC, T0-T3 3、结合C5510的存储器空间分配,访问DSR的内部RAM 4、编写一个最简单的定点DSP程序,计算下面式子 y=0.1*1.2+35*20+15*1.6 5、采用定点DSP进行计算,确定每个操作数的定点表示方法,最后结果的定点表示方法,并验证结果 6、对编写的程序进行编译、链接、运行、断点执行、单步抽并给出map映射文件 二、实验原理 DSP芯片的定点运算---Q格式(转)2008-09-03 15:47 DSP 芯片的 定点运算 1. 数据的溢出: 1>溢出分类:上溢(oveflow ): 下溢(underflow ) 2>溢出的结果:Max Min Min Max un sig ned char 0 255 sig ned char -128 127 un sig ned int 0 65535 signed int -32768 32767

上溢在圆圈上按数据逆时针移动;下溢在圆圈上顺时钟移动。 例:signed int : 32767+1 = —32768 ; -32768-1 = 32767 unsigned char : 255+1 = 0; 0-1 = 255 3>为了避免溢出的发生,一般在DSP中可以设置溢出保护功能。当 发生溢出时,自动将结果设置为最大值或最小值。 2. 定点处理器对浮点数的处理: 1>定义变量为浮点型(float , double ),用C语言抹平定点处理器和浮点处理器 2>放大若干倍表示小数。比如要表示精度为0.01的变量,放大100倍去运算,3>定标法:Q格式:通过假定小数点位于哪一位的右侧,从而确定小 数的精度。Q0 :小数点在第0位的后面,即我们一般采用的方法Q15 小数点在第15位的后面,0~ 14位都是小数位。转化公式:Q= (int ) (F X pow(2, q)) F =(float ) (Qx pow (2,—q)) 3. Q格式的运算 1>定点加减法:须转换成相同的Q格式才能加减 2>定点乘法:不同Q格式的数据相乘,相当于Q值相加 3>定点除法:不同Q格式的数据相除,相当于Q值相减 4>定点左移:左移相当于Q值增加 5>定点右移:右移相当于Q减少 4. Q格式的应用格式 实际应用中,浮点运算大都时候都是既有整数部分,也有小数部分的。 所以要选择一个适当的定标格式才能更好的处理运算。一般用如下两 种方法:

DSP原理及应用-(修订版)--课后习题答案

第一章: 1、数字信号处理的实现方法一般有哪几种? 答:数字信号处理的实现是用硬件软件或软硬结合的方法来实现各种算法。(1) 在通用的计算机上用软件实现;(2) 在通用计算机系统中加上专用的加速处理机实现;(3) 用通用的单片机实现,这种方法可用于一些不太复杂的数字信号处理,如数字控制;(4)用通用的可编程 DSP 芯片实现。与单片机相比,DSP 芯片具有更加适合于数字信号处理的软件和硬件资源,可用于复杂的数字信号处理算法;(5) 用专用的 DSP 芯片实现。在一些特殊的场合,要求的信号处理速度极高,用通用 DSP 芯片很难实现( 6)用基于通用 dsp 核的asic 芯片实现。 2、简单的叙述一下 dsp 芯片的发展概况? 答:第一阶段, DSP 的雏形阶段( 1980 年前后)。代表产品: S2811。主要用途:军事或航空航天部门。第二阶段, DSP 的成熟阶段( 1990 年前后)。代表产品: TI 公司的 TMS320C20 主要用途:通信、计算机领域。第三阶段, DSP 的完善阶段( 2000 年以后)。代表产品:TI 公司的 TMS320C54 主要用途:各个行业领域。 3、可编程 dsp 芯片有哪些特点? 答: 1、采用哈佛结构( 1)冯。诺依曼结构,( 2)哈佛结构( 3)改进型哈佛结构2、采用多总线结构 3.采用流水线技术4、配有专用的硬件乘法-累加器5、具有特殊的 dsp 指令6、快速的指令周期7、硬件配置强8、支持多处理器结构9、省电管理和低功耗 4、什么是哈佛结构和冯。诺依曼结构?它们有什么区别? 答:哈佛结构:该结构采用双存储空间,程序存储器和数据存储器分开,有各自独立的程序总线和数据总线,可独立编址和独立访问,可对程序和数据进行独立传输,使取指令操作、指令执行操作、数据吞吐并行完成,大大地提高了数据处理能力和指令的执行速度,非常适合于实时的数字信号处理。冯。诺依曼结构:该结构采用单存储空间,即程序指令和数据共 用一个存储空间,使用单一的地址和数据总线,取指令和取操作数都是通过一条总线分时进行。当进行高速运算时,不但不能同时进行取指令和取操作数,而且还会造成数据传输通道的瓶颈现象,其工作速度较慢。 区别:哈佛:该结构采用双存储空间,程序存储器和数据存储器分开,有各自独立的程序总线和数据总线,可独立编址和独立访问,可对程序和数据进行独立传输,使取指令操作、指令执行操作、数据吞吐并行完成,大大地提高了数据处理能力和指令的执行速度,非常适合于实时的数字信号处理。冯:当进行高速运算时,不但不能同时进行取指令和取操作数,而且还会造成数据传输通道的瓶颈现象,其工作速度较慢。 5、什么是流水线技术? 答:每条指令可通过片内多功能单元完成取指、译码、取操作数和执行等多个步骤,实现多条指令的并行执行,从而在不提高系统时钟频率的条件下减少每条指令的执行时间。利用这种流水线结构,加上执行重复操作,就能保证在单指令周期内完成数字信号处理中用得最多的乘法 - 累加运算。(图)6、什么是定点 dsp 芯片和浮点 dsp 芯片?它们各有什么优缺点? 答:若数据以定点格式工作的称为定点 DSP 芯片。若数据以浮点格式工作的称为浮点 DSP芯片。

西电DSP大作业报告

DSP实验课程序设计报告 学院:电子工程学院 学号:1202121013 :海霞 指导教师:苏涛

DSP 实验课大作业设计 一 实验目的 在DSP 上实现线性调频信号的脉冲压缩、动目标显示(MTI )和动目标检测(MTD),并将结果与MATLAB 上的结果进行误差仿真。 二 实验容 2.1 MATLAB 仿真 设定带宽、脉宽、采样率、脉冲重复频率,用MATLAB 产生16个脉冲的LFM ,每个脉冲有4个目标(静止,低速,高速),依次做 2.1.1 脉压 2.1.2 相邻2脉冲做MTI ,产生15个脉冲 2.1.3 16个脉冲到齐后,做MTD ,输出16个多普勒通道 2.2 DSP 实现 将MATLAB 产生的信号,在visual dsp 中做脉压,MTI 、MTD ,并将结果与MATLAB 作比较。 三 实验原理 3.1 线性调频 线性调频脉冲压缩体制的发射信号其载频在脉冲宽度按线性规律变化即用对载频进行调制(线性调频)的方法展宽发射信号的频谱,在大时宽的前提下扩展了信号的带宽。 若线性调频信号中心频率为0f ,脉宽为τ,带宽为B ,幅度为A ,μ为调频斜率,则其表达式如下: ]2 12cos[)()(20t t f t rect A t x μπτ+??=;)(为矩形函数rect 在相参雷达中,线性调频信号可以用复数形式表示,即 )]2 12(exp[)()(20t t f j t rect A t x μπτ+??= 在脉冲宽度,信号的角频率由220μτπ- f 变化到220μτπ+f 。 3.2 脉冲压缩原理 脉冲雷达信号发射时,脉冲宽度τ决定着雷达的发射能量,发射能量越大, 作用距离越远;在传统的脉冲雷达信号中,脉冲宽度同时还决定着信号的频率宽度B ,即带宽与时宽是一种近似倒数的关系。脉冲越宽,频域带宽越窄,距离分辨率越低。 脉冲压缩的主要目的是为了解决信号的作用距离和信号的距离分辨率之间的矛盾。为了提高信号的作用距离,我们就需要提高信号的发射功率,因此,必须提高发射信号的脉冲宽度,而为了提高信号的距离分辨率,又要求降低信号的脉冲宽度。

西工大DSP大作业

实验1 基于CCS的简单的定点DSP程序 一、实验要求 1、自行安装CCS3.3版本,配置和运行CCS 2、熟悉CCS开发环境,访问读写DSP的寄存器AC0-AC3,ARO-AR7, PC, T0-T3 3、结合C5510的存储器空间分配,访问DSP的内部RAM 4、编写一个最简单的定点DSP程序,计算下面式子y=0.1*1.2+35*20+15*1.6 5、采用定点DSP进行计算,确定每个操作数的定点表示方法, 最后结果的定点表示方法,并验证结果 6、对编写的程序进行编译、链接、运行、断点执行、单步抽并给出map映射文件 二、实验原理 DSP芯片的定点运算---Q格式(转) 2008-09-03 15:47 DSP芯片的定点运算 1.数据的溢出: 1>溢出分类:上溢(overflow):下溢(underflow) 2>溢出的结果:Max Min Min Max unsigned char 0 255 signed char -128 127 unsigned int 0 65535 signed int -32768 32767 上溢在圆圈上按数据逆时针移动;下溢在圆圈上顺时钟移动。例:signed int :32767+1=-32768;-32768-1=32767

unsigned char:255+1=0;0-1=255 3>为了避免溢出的发生,一般在DSP中可以设置溢出保护功能。当 发生溢出时,自动将结果设置为最大值或最小值。 2.定点处理器对浮点数的处理: 1>定义变量为浮点型(float,double),用C语言抹平定点处理器和浮点处理器的区 2>放大若干倍表示小数。比如要表示精度为0.01的变量,放大100倍去运算,运算 3>定标法:Q格式:通过假定小数点位于哪一位的右侧,从而确定小 数的精度。Q0:小数点在第0位的后面,即我们一般采用的方法Q15 小数点在第15位的后面,0~14位都是小数位。转化公式:Q=(int) (F×pow(2,q))F=(float)(Q×pow(2,-q)) 3.Q格式的运算 1>定点加减法:须转换成相同的Q格式才能加减 2>定点乘法:不同Q格式的数据相乘,相当于Q值相加 3>定点除法:不同Q格式的数据相除,相当于Q值相减 4>定点左移:左移相当于Q值增加 5> 定点右移:右移相当于Q减少 4.Q格式的应用格式 实际应用中,浮点运算大都时候都是既有整数部分,也有小数部分的。 所以要选择一个适当的定标格式才能更好的处理运算。一般用如下两 种方法: 1>使用时使用适中的定标,既可以表示一定的整数复位也可以表示 小数复位,如对于2812的32位系统,使用Q15格式,可表示

相关文档