文档库 最新最全的文档下载
当前位置:文档库 › 数字电子技术3

数字电子技术3

数字电子技术3
数字电子技术3

1.第1题单选题

A、

B、

C、

标准答案:A

2.第2题单选题一个8421BCD码十进制计数器,设其初态Q3Q2Q1Q0=0000,输入的时钟脉冲频率 f=1kHz。试问在100ms时间内,共输入了多少个脉冲?

A、10

B、100

C、1000

标准答案:B

3.第3题单选题时序电路中必须包含()。

A、触发器

B、组合逻辑电路

C、移位寄存器

D、译码器

标准答案:A

4.第4题单选题设计一个60进制的计数器,至少有多少个无效状态?

A、68

B、4

C、28

标准答案:B

5.第5题单选题

A、4

B、5

C、6

D、15

标准答案:B

6.第6题单选题下图所示的触发器,哪个是上升沿触发的边沿D触发器逻辑符号?

A、

B、

C、

D、

标准答案:A 7.第7题单选题

A、9

B、6

C、60

D、54

标准答案:D 8.第8题单选题

A、RS

B、不变

C、D

D、T

标准答案:C 9.第9题单选题

A、6进制计数器

B、5进制计数器

C、7进制计数器

D、以上都不正确

标准答案:A

10.第10题单选题若需要每输入240个脉冲分频器能输出一个脉冲,则由二进制加计数器构成的分频器至少需要多少个触发器?

A、7

B、8

C、9

标准答案:B

11.第11题单选题

A、具有自启动特性

B、无自启动特性

标准答案:A

12.第12题单选题对于集成计数器74LS161,如下描述正确的是:

A、同步4位二进制计数器,具有同步清零功能

B、同步4位二进制计数器,具有异步清零功能

C、同步8421BCD十进制计数器,具有异步清零功能

标准答案:B

13.第13题单选题一个8位二进制加法计数器,如果输入脉冲频率 f=256kHz,试求此计数器最高位触发器输出脉冲频率?

A、32kHz

B、1kHz

C、128 kHz

标准答案:B

14.第14题单选题对于JK触发器,输入J=0,K=1,CP脉冲作用后,触发器的状态为()。

A、0

B、1

C、保持不变

D、翻转

标准答案:A

15.第15题单选题

A、边沿JK触发器,下降沿触发

B、钟控JK触发器,下降沿触发

C、边沿JK触发器,上升沿触发

D、钟控JK触发器,上升沿触发标准答案:A 16.第16题单选题

A、数码寄存器

B、同步8进制计数器

C、同步3进制计数器

D、移位寄存器

标准答案:B 17.第17题单选题

A、5

B、6

C、7

D、8

18.第18题单选题

A、7

B、5

C、6

D、8

标准答案:D

19.第19题单选题有一个与非门构成的基本RS触发器,欲使其输出状态保持原态不变,其输入信号应为()。

A、S=R=0

B、S=0R=1

C、S=1R=0

D、S=R=1

标准答案:D

20.第20题单选题具有同步清零功能的4位二进制同步集成计数器是:

A、74LS161

B、74LS160

C、74LS163

D、74LS90

《电路与电子技术基础》期末考试卷以及答案

《电路与电子技术基础》2004~2005学年第二学期期末考试试卷(A) 时间:120分钟 班级学号姓名成绩 【注意事项】 1.答题用黑色或兰色钢笔与圆珠笔,作图可以用铅笔; 2.除可以携带指定的文具用品(钢笔、圆珠笔、铅笔、橡皮、绘图仪器、无存储功能的计算器)外,其他物品不准带入考场(手机必须关机,参考资料与书包全部放到教室前面); 3.遇有试卷字迹不清、卷面缺损等问题,可以先举手,经监考人员同意后方可提问。 一、单项选择题(本题共40分,每题2分,将你认为正确的选项对应的字母填在括号内) 1.测得一放大电路中三极管各极电压如考试题图1所示:该管为()。 A. PNP型硅管 B. NPN型硅管 C. PNP型锗管 D. NPN型锗管 2.正常工作状态下的稳压二极管,处于伏安特性曲线中的()。 A. 正向特性工作区 B. 反向击穿区 C. 正向特性非工作区 D. 特性曲线所有区域 3.组合逻辑电路的设计是指()。 A. 已知逻辑要求,求解逻辑表达式并画逻辑图的过程 B. 已知逻辑要求,列真值表的过程 C. 已知逻辑图,求解逻辑功能的过程 4.考试题图2所示电路的u S (t) = 2 cos t V,则电感电流 i L(t)的初相等于()。 A. 26.6° B. -26.6° C. 63.4° D. -63.4° 5.电流并联负反馈对放大器的输入、输出的电阻的影响是()。 A. 减小输入电阻及输出电阻 B. 减小输入电阻、增大输出电阻 C. 增大输入电阻、减小输出电阻 D. 增大输入电阻及输出电阻 6.考试题图3所示电路中的电压U为()。 A. 5V B. -5V C. 10V D. 7V 7.TTL与非门中多余的输入端应接电平是()。 考试题图3 ① -2V ③-2.2V -8V 考试题图1 考试题图2 u s(t

西北工业大学-数字电子技术基础-实验报告-实验2

数字电子技术基础第二次实验报告 一、题目代码以及波形分析 1. 设计一款可综合的2选1多路选择器 ①编写模块源码 module multiplexer(x1,x2,s,f); input x1,x2,s; output f; assign f=(~s&x1)|(s&x2); endmodule ②测试模块 `timescale 1ns/1ps module tb_multiplexer; reg x1_test; reg x2_test; reg s_test; wire f_test; initial s_test=0;

always #80 s_test=~s_test; initial begin x1_test=0; x2_test=0; #20 x1_test=1; x2_test=0; #20 x1_test=0; x2_test=1; #20 x1_test=1; x2_test=1; #20 x1_test=0; x2_test=0;

#20 x1_test=1; x2_test=0; #20 x1_test=0; x2_test=1; #20 x1_test=1; x2_test=1; end multiplexer UUT_multiplexer(.x1(x1_test),.x2(x2_test),.s(s_test),.f(f_test)); endmodule ③仿真后的波形截图

④对波形的分析 本例目的是令s为控制信号,实现二选一多路选择器。分析波形图可以知道,s为0时,f 输出x1信号;s为1时,f输出x2信号。所以实现了目标功能。 2. 设计一款可综合的2-4译码器 ①编写模块源码 module dec2to4(W,En,Y); input [1:0]W; input En; output reg [0:3]Y; always@(W,En) case({En,W}) 3'b100:Y=4'b1000; 3'b101:Y=4'b0100; 3'b110:Y=4'b0010;

数字电子技术实验教案

湖南工学院教案用纸 实验1基本门电路逻辑功能测试(验证性实验) 一、实验目的 1?熟悉基本门电路图形符号与功能; 2?掌握门电路的使用与功能测试方法; 3?熟悉实验室数字电路实验设备的结构、功能与使用。 二、实验设备与器材 双列直插集成电路插座,逻辑电平开关,LED发光显示器,74LS00, 74LS20 , 74LS86,导 线 三、实验电路与说明 门电路是最简单、最基本的数字集成电路,也是构成任何复杂组合电路和时序电路的基本单 元。常见基本集门电路包括与门、或门、与非门、非门、异或门、同或门等,它们相应的图形符号与逻辑功能参见教材P.176, Fig.6.1。根据器件工艺,基本门电路有TTL门电路和CMOS门电路之分。TTL门电路工作速度快,不易损坏,CMOS门电路输出幅度大,集成 度高,抗干扰能力强。 1.74LS00 —四2输入与非门功能与引脚: 2. 74LS20 —双4输入与非门功能与引脚: 3. 74LS86 —四2输入异或门功能与引脚: 四、实验内容与步骤 1.74LS00功能测试: ①74LS00插入IC插座;②输入接逻辑电平开关;③输出接LED显示器;④接电源;⑤拔

动开关进行测试,结果记入自拟表格。 湖南工学院教案用纸

2. 74LS20功能测试: 实验过程与74LS00功能测试类似。 3. 74LS86功能测试: 实验过程与74LS00功能测试类似。 4. 用74LS00构成半加器并测试其功能: ①根据半加器功能:S A B , C AB,用74LS00设计一个半加器电路; ②根据所设计电路进行实验接线; ③电路输入接逻辑电平开关,输出接LED显示器; ④通电源测试半加器功能,结果记入自拟表格。 5. 用74LS86和74LS00构成半加器并测试其功能: 实验过程与以上半加器功能测试类似。 五、实验报告要求 1. 内容必须包括实验名称、目的要求、实验电路及设计步骤、实验结果记录与分析、实验总结与体会等。2?在报告中回答以下思考题: ①如何判断逻辑门电路功能是否正常? ②如何处理与非门的多余输入端? 实验2组合逻辑电路的设计与调试(设计性综合实验) 一、实验目的 1?熟悉编码器、译码器、数据选择器等MSI的功能与使用; 2?进一步掌握组合电路的设计与测试方法; 3?学会用MSI实现简单逻辑函数。 二、实验设备与器材

数字电子技术实验心得

数字电子技术实验心得 这学期学了数字电子技术实验,让我了解到了更多知识,加深了对数字电子技术的理解。这是一门理论与实践密切相关的学科,能让我们自己去验证一下书上的理论,自己去设计,这有利于培养我们的实际设计能力和动手能力。 通过数字电子技术实验, 我们不仅仅是做了几个实验,不仅要学会实验技术,更应当掌握实验方法,即用实验检验理论的方法,寻求物理量之间相互关系的方法,寻求最佳方案的方法等等,掌握这些方法比做了几个实验更为重要。 在做实验前,一定要将课本上的知识吃透,因为这是做实验的基础,否则,在老师讲解时就会听不懂,这将使你在做实验时的难度加大,浪费做实验的宝贵时间.做实验时,一定要亲力亲为,务必要将每个步骤,每个细节弄清楚,弄明白,实验后,还要复习,思考,这样,你的印象才深刻,记得才牢固,否则,过后不久你就会忘得一干二净,这还不如不做.做实验时,老师还会根据自己的亲身体会,将一些课本上没有的知识教给我们,拓宽我们的眼界,使我们认识到这门课程在生活中的应用是那么的广泛. 我也学习到一些经验: 1、如果发现了实验中问题所在,此时,我们应该静下心来,冷静地分析问题的所在,有可能存在哪一环节,比如实验原理不正确,或是实验电路需要修正等等,只有这样我们的能力才能有所提高。不要盲目的把导线全部拆掉,然后又重新连接一遍,这样不但浪费时间,而且也无法达到锻炼我们动手动脑能力的目的。 2、在实验过程中,我们也要学会分工协作,不能一味的我行我素或是自己一点也不参与其中。 3、在实验过程中,要互相学习,学习优秀同学的方法和长处,同时也要学会虚心向指导老师请教,当然这要建立在自己独立思考过的基础上。 在实验的过程中我们要培养自己的独立分析问题,和解决问题的能力。培养这种能力的前题是你对每次实验的态度。数字电子技术实验,有利于掌握知识体系与学习方法,有利于激发我们学习的主动性,增强自信心,有利于培养我们的创新钻研的能力,有利于书本知识技能的巩固和迁移。我们认为,在这学期的实

数字电子技术实验报告

专业: 班级: 学号: 姓名: 指导教师: 电气学院

实验一集成门电路逻辑功能测试 一、实验目的 1. 验证常用集成门电路的逻辑功能; 2. 熟悉各种门电路的逻辑符号; 3. 熟悉TTL集成电路的特点,使用规则和使用方法。 二、实验设备及器件 1. 数字电路实验箱 2. 万用表 3. 74LS00四2输入与非门1片74LS86四2输入异或门1片 74LS11三3输入与门1片74LS32四2输入或门1片 74LS04反相器1片 三、实验原理 集成逻辑门电路是最简单,最基本的数字集成元件,目前已有种类齐全集成门电路。TTL集成电路由于工作速度高,输出幅度大,种类多,不宜损坏等特点而得到广泛使用,特别对学生进行实验论证,选用TTL电路较合适,因此这里使用了74LS系列的TTL成路,它的电源电压为5V+10%,逻辑高电平“1”时>2.4V,低电平“0”时<0.4V。实验使用的集成电路都采用的是双列直插式封装形式,其管脚的识别方法为:将集成块的正面(印有集成电路型号标记面)对着使用者,集成电路上的标识凹口左,左下角第一脚为1脚,按逆时针方向顺序排布其管脚。 四、实验内容 ㈠根据接线图连接,测试各门电路逻辑功能 1. 利用Multisim画出以74LS11为测试器件的与门逻辑功能仿真图如下

按表1—1要求用开关改变输入端A,B,C的状态,借助指示灯观测各相应输出端F的状态,当电平指示灯亮时记为1,灭时记为0,把测试结果填入表1—1中。 表1-1 74LS11逻辑功能表 输入状态输出状态 A B C Y 0 0 0 0 0 0 1 0 0 1 0 0 0 1 1 0 1 0 0 0 1 0 1 0 1 1 0 0 1 1 1 1 悬空 1 1 1 悬空0 0 0 2. 利用Multisim画出以74LS32为测试器件的或门逻辑功能仿真图如下

电路与电子技术基础习题答案7

《电路与电子技术基础》参考解答 习题七 7-1 什么是静态工作点如何设置静态工作点若静态工作点设置不当会出现什么问题估算静态工作点时,应根据放大电路的直流通路还是交流通路 答:所谓静态工作点就是输入信号为零时,电路处于直流工作状态,这些直流电流、电压的数值在三极管特性曲线上表示为一个确定的点,设置静态工作点的目的就是要保证在被被放大的交流信号加入电路时,不论是正半周还是负半周都能满足发射结正向偏置,集电结反向偏置的三极管放大状态。 可以通过改变电路参数来改变静态工作点,这就可以设置静态工作点。 若静态工作点设置的不合适,在对交流信号放大时就可能会出现饱和失真(静态工作点偏高)或截止失真(静态工作点偏低)。 估算静态工作点是根据放大电路的直流通路。 7-2 试求题图7-1各电路的静态工作点。设图中的所有三极管都是硅管。 解:图(a)静态工作点 V R I U U mA I I A mA I c c cc ce b c b 3.14101107.9247.9194.050194194.010 1207 .024333 =???-=-==?===≈?-=-βμ 图(b)和图(c)的发射结反向偏置,三极管截止,所以I b =0,I c =βI b ≈0,三极管工作在截止区,U ce ≈U cc 。 图(d)的静态工作点 c R e R b 3V 6V R e R b 1 R e

) 1.3712(]10)212(1065.212[)]()6(6[65.226026.01 65.21027 .06333 --=?+??--=+----≈=≈=≈+= =?-= -e c c ce e c e b e R R I U mA I I A mA I I mA I μβ 依此I c 电流,在电阻上的压降高于电源电压,这是不可能的,由此可知电流要小于此值,即三极管工作在饱和状态。 图(e)的静态工作点 V R I U U mA I I I I mA I V U e e cc ce e b e c e B 3.161021085.3240475.01 8085.3185.310 27.08810310)6030(24333 3 3=???-=-==+=+=≈=?-==???+= -β 7-3 放大电路的输入电阻与输出电阻的含义是什么为什么说放大电路的输入电阻可以用来表示放大电路对信号源电压的衰减程度放大电路的输出电阻可以用来表示放大电路带负载的能力 答:输入电阻就是将放大电路看为一个四端元件,从输入端看入的等效电阻。即输入端的电压与输入端的电流之比。输出电阻也是将放大电路看作一个四端元件,从输出端看的等效电阻。即戴维南等效电路的内阻。 因为信号源为放大电路提供输入信号,由于信号源内阻的存在,因此当提供给放大电路的信号源是电压源串电阻的形式时,输入电阻越大,则放大电路对信号源的衰减越小;若信号源是电流源与电阻并联,则输入电阻越小,放大电路对信号源的衰减越小。 放大电路我们可以根据戴维南等效电路将其化简为一个电压源与电阻的串联形式,输出电阻可以看作一个电源的内阻,因此,输出电阻越小,放大电路的带负载能力越强。 请参看下图,可以增强对上面文字描述的理解。

数字电子技术基础实验

《数字电子技术基础实验》 实验报告 学院: 学号: 姓名: 专业: 实验时间: 实验地点: 2016年12月

Figure 5.51n位移位寄存器 一、实验目的及要求 编写testbench 验证Figure 5.51源代码功能,实现n位移位寄存器。 了解并熟悉移位寄存器的工作原理功能; 熟悉n位移位寄存器的逻辑功能。 所需功能:实现所需功能需要R,Clock,L,w,Q,5个变量,其中参数n 设为缺省值16,以定义触发器的个数。 当时钟信号Clock从0变为1时刻,正边沿触发器做出响应: 当L=0时,对输出结果Q进行向右移位,将w的值赋给Q的 最高位,实现移位; 当L=1时,将输入R的值寄存在Q中; 所需EDA工具及要求: Modelsim: 1、在Modelsim中建立工程,编写Figure 5.51模块的源码; 2、编写Figure 5.51的测试模块源码,对Figure 5.51进行仿真、测 试,观察仿真波形图并进行分析等; Synplify Pro: 1、使用Synplify Pro对Figure 5.51进行综合,得到RTL View、 Technology View、综合报表等,进行观察、分析等; 二、实验内容与步骤 1、在Modelsim中建立工程,编写Figure 5.51模块的源码; 本题实现的是一个n位移位寄存器,触发器对时钟信号Clock敏感,为正边沿敏感型。L实现对Q的控制,若L=1,则将R寄存到Q中;若L=0,则对Q向右移位。 如下图是一个4位移位寄存器 图表说明了该四位移位寄存器的移位过程

module shiftn (R, L, w, Clock, Q); parameter n = 16; input [n-1:0] R; input L, w, Clock; output reg [n-1:0] Q; integer k; always @(posedge Clock) if (L) Q <= R; else begin for (k = 0; k < n-1; k = k+1) Q[k] <= Q[k+1]; Q[n-1] <= w; end endmodule 这是可用于表示任意位宽的移位寄存器的代码,其中参数n设为缺省值16,以定义触发器的个数。R和Q的位宽用n定义,描述移位操作的else 分支语句用for循环语句实现,可适用于由任意多个触发器组成的移位操作。 2、编写Figure 5.51的测试模块源码,对Figure 5.51进行仿真、测试,观察仿真波形图并进行分析等; `timescale 1ns/1ns module shiftn_tb;

数字电子技术实验讲义(试用)

数字电子技术实验 简要讲义 适用专业:电气专业 编写人:于云华、何进 中国石油大学胜利学院机械与控制工程学院 2015.3

目录 实验一:基本仪器熟悉使用和基本逻辑门电路功能测试 (3) 实验二:小规模组合逻辑电路设计 (4) 实验三:中规模组合逻辑电路设计 (5) 实验四:触发器的功能测试及其应用 (7) 实验五:计数器的功能测试及其应用 (8) 实验六:计数、译码与显示综合电路的设计 (9)

实验一:基本仪器熟悉使用和常用门电路逻辑功能测试 (建议实验学时:2学时) 一、实验目的: 1、熟悉实验仪器与设备,学会识别常用数字集成芯片的引脚分配; 2、掌握门电路的逻辑功能测试方法; 3、掌握简单组合逻辑电路的设计。 二、实验内容: 1、测试常用数字集成逻辑芯片的逻辑功能:74LS00,74LS02,74LS04,74LS08,74LS20,74LS32,74LS86等(预习时查出每个芯片的逻辑功能、内部结构以及管脚分配)。 2、采用两输入端与非门74LS00实现以下逻辑功能: ① F=ABC ② F=ABC③ F=A+B ④ F=A B+A B 三、实验步骤:(学生根据自己实验情况简要总结步骤和内容)主要包括: 1、实验电路设计原理图;如:实现F=A+B的电路原理图: 2、实验真值表; 3、实验测试结果记录。如: 输入输出 A B F3 00灭

四、实验总结: (学生根据自己实验情况,简要总结实验中遇到的问题及其解决办法)注:本实验室提供的数字集成芯片有: 74LS00, 74LS02,74LS04,74LS08,74LS20,74LS32,74LS74,74LS90,74LS112, 74LS138,74LS153, 74LS161 实验二:小规模组合逻辑电路设计 (建议实验学时:3学时) 一、实验目的: 1、学习使用基本门电路设计、实现小规模组合逻辑电路。 2、学会测试、调试小规模组合逻辑电路的输入、输出逻辑关系。 二、实验内容: 1、用最少的门电路设计三输入变量的奇偶校验电路:当三个输入端有奇数个1时,输出为高,否则为低。(预习时画出电路原理图,注明所用芯片型号) 2、用最少的门电路实现1位二进制全加器电路。(预习时画出电路原理图,注明所用芯片型号) 3、用门电路实现“判断输入者与受血者的血型符合规定的电路”,测试其功能。要求如下:人类由四种基本血型:A、B、AB、O 型。输血者与受血者的血型必须符合下述原则: O型血可以输给任意血型的人,但O型血的人只能接受O型血; AB型血只能输给AB型血的人,但AB血型的人能够接受所有血型的血; A 型血能给A型与AB型血的人;但A型血的人能够接受A型与O型血; B型血能给B型与AB型血的人,而B型血的人能够接受B型与O型血。 试设计一个检验输血者与受血者血型是否符合上述规定的逻辑电路,如果符合规定电路,输出高电平(提示:电路只需要四个输入端,它们组成一组二进制数码,每组数码代表一对输血与受血的血型对)。 约定“00”代表“O”型 “01”代表“A”型 “10”代表“B”型 “11”代表“AB”型(预习时画出电路原理图,注明所用芯片型号) 三、实验步骤:(学生根据自己实验情况简要总结步骤和内容),与实验一说明类似。

数字电子技术实验指导书

数字电子技术实验指导书 (韶关学院自动化专业用) 自动化系 2014年1月10日 实验室:信工405

数字电子技术实验必读本实验指导书是根据本科教学大纲安排的,共计14学时。第一个实验为基础性实验,第二和第七个实验为设计性实验,其余为综合性实验。本实验采取一人一组,实验以班级为单位统一安排。 1.学生在每次实验前应认真预习,用自己的语言简要的写明实验目的、实验原理,编写预习报告,了解实验内容、仪器性能、使用方法以及注意事项等,同时画好必要的记录表格,以备实验时作原始记录。教师要检查学生的预习情况,未预习者不得进行实验。 2.学生上实验课不得迟到,对迟到者,教师可酌情停止其实验。 3.非本次实验用的仪器设备,未经老师许可不得任意动用。 4.实验时应听从教师指导。实验线路应简洁合理,线路接好后应反复检查,确认无误时才接通电源。 5.数据记录 记录实验的原始数据,实验期间当场提交。拒绝抄袭。 6.实验结束时,不要立即拆线,应先对实验记录进行仔细查阅,看看有无遗漏和错误,再提请指导教师查阅同意,然后才能拆线。 7.实验结束后,须将导线、仪器设备等整理好,恢复原位,并将原始数据填入正式表格中,经指导教师签名后,才能离开实验室。

目录实验1 TTL基本逻辑门功能测试 实验2 组合逻辑电路的设计 实验3 译码器及其应用 实验4 数码管显示电路及应用 实验5 数据选择器及其应用 实验6 同步时序逻辑电路分析 实验7 计数器及其应用

实验1 TTL基本逻辑门功能测试 一、实验目的 1、熟悉数字电路试验箱各部分电路的基本功能和使用方法 2、熟悉TTL集成逻辑门电路实验芯片的外形和引脚排列 3、掌握实验芯片门电路的逻辑功能 二、实验设备及材料 数字逻辑电路实验箱,集成芯片74LS00(四2输入与非门)、74LS04(六反相器)、74LS08(四2输入与门)、74LS10(三3输入与非门)、74LS20(二4输入与非门)和导线若干。 三、实验原理 1、数字电路基本逻辑单元的工作原理 数字电路工作过程是数字信号,而数字信号是一种在时间和数量上不连续的信号。 (1)反映事物逻辑关系的变量称为逻辑变量,通常用“0”和“1”两个基本符号表示两个对立的离散状态,反映电路上的高电平和低电平,称为二值信息。(2)数字电路中的二极管有导通和截止两种对立工作状态。三极管有饱和、截止两种对立的工作状态。它们都工作在开、关状态,分别用“1”和“0”来表示导通和断开的情况。 (3)在数字电路中,以逻辑代数作为数学工具,采用逻辑分析和设计的方法来研究电路输入状态和输出状态之间的逻辑关系,而不必关心具体的大小。 2、TTL集成与非门电路的逻辑功能的测试 TTL集成与非门是数字电路中广泛使用的一种逻辑门。实验采用二4输入与非门74LS20芯片,其内部有2个互相独立的与非门,每个与非门有4个输入端和1个输出端。74LS20芯片引脚排列和逻辑符号如图2-1所示。

《电路与电子技术基础》期末考试卷以及答案

《电路与电子技术基础》2004~2005学年第 二学期期末考试试卷(A ) 时间:120分钟 班级 学号 姓名 成绩 【注意事项】 1.答题用黑色或兰色钢笔与圆珠笔,作图可以用铅笔; 2.除可以携带指定的文具用品(钢笔、圆珠笔、铅笔、橡皮、绘图仪器、无存储功能的计算器)外,其他物品不准带入考场(手机必须关机,参考资料与书包全部放到教室前面); 3.遇有试卷字迹不清、卷面缺损等问题,可以先举手,经监考人员同意后方可提问。 一、单项选择题(本题共40分,每题2分,将你认为正确的选项对应的字母填在括号内) 1.测得一放大电路中三极管各极电压如考试题图1所示:该管 为(C )。 A. PNP 型硅管 B. NPN 型硅管 C. PNP 型锗管 D. NPN 型锗管 2.正常工作状态下的稳压二极管,处于伏安特性曲线中的( B )。 A. 正向特性工作区 B. 反向击穿区 C. 正向特性非工作区 D. 特性 ①② 1

曲线所有区域 3.组合逻辑电路的设计是指( A )。 A. 已知逻辑要求,求解逻辑表达式并画逻辑图的过程 B. 已知逻辑要求,列真值表的过程 C. 已知逻辑图,求解逻辑功能的过程 4.考试题图2所示电路的u S (t ) = 2 cos t V , 则电感电流i L (t )的初相等于( D )。 A. 26.6° B. -26.6° C. 63.4° D. -63.4° 5.电流并联负反馈对放大器的输入、输出的电阻的影响是( B )。 A. 减小输入电阻及输出电阻 B. 减小输入电阻、增大输出电阻 C. 增大输入电阻、减小输出电阻 D. 增大输 入电阻及输出电阻 6.考试题图3所示电路中的电压U 为( C )。 A. 5V B. -5V C. 10V D. 7V 7.TTL 与非门中多余的输入端应接电平是( C )。 A. 低 B. 地 C. 高 D. 悬空 8.考试题图4所示电路的节点电压方程为( )。 考试题图3 考试题图2 u s (

数字电路实验报告3

数字电路实验报告3 暨南大学本科实验报告专用纸 课程名称数字逻辑电路实验成绩评定实验项目名称三态门特性研究和典型应用指导教师实验项目编号 0806003803实验项目类型验证型实验地点 B406 学生姓名学号 学院电气信息学院系专业电子信息科学与技术实验时间 2013 年5 月27日上午~月日午温度℃湿度 三态门特性研究和典型应用 一、实验目的 1.学习应用实验的方法分析组合逻辑电路功能。 2.熟悉三态门逻辑特性和使用方法。 3.掌握三态门的典型应用,熟悉三态门输出控制和构成总线的应用。 4.学习数字系统综合实验平台可编辑数字波形发生器使用方法。 二、实验器件、仪器和设备 1. 4双输入与非门74LS00 1片 2. 4三态输出缓冲器74LS125 1片 3. 4异或门74LS86 1片 4. 数字万用表UT56 1台 5. TDS-4数字系统综合实验平台 1台 6. PC机(数字信号显示仪) 1台 7. GOS-6051示波器 1台 芯片引脚图 三、实验步骤和测试分析 1、三态门逻辑特性测试(用表格记录测试数据) ①74LS125三态门的输出负载为74LS00一个与非门输入端。 74LS00同一个与非门的另一个输入端接低电平,测试74LS125三态门三态输出、高电平输出、低电平输出的电压值。同时测试74LS125三态输出时74LS00输出值。 测试电路图及数据表格如下页所示。 ②74LS125三态门的输出负载为74LS00一个与非门输入端。 74LS00同一个与非门的另一个输入端接高电平,测试74LS125三态门三态输出、高电平输出、低电平输出的电压值。同时测试74LS125三态输出时74LS00输出值。

数字电子技术实验报告汇总

《数字电子技术》实验报告 实验序号:01 实验项目名称:门电路逻辑功能及测试 学号姓名专业、班级 实验地点物联网实验室指导教师时间2016.9.19 一、实验目的 1. 熟悉门电路的逻辑功能、逻辑表达式、逻辑符号、等效逻辑图。 2. 掌握数字电路实验箱及示波器的使用方法。 3、学会检测基本门电路的方法。 二、实验仪器及材料 1、仪器设备:双踪示波器、数字万用表、数字电路实验箱 2. 器件: 74LS00 二输入端四与非门2片 74LS20 四输入端双与非门1片 74LS86 二输入端四异或门1片 三、预习要求 1. 预习门电路相应的逻辑表达式。 2. 熟悉所用集成电路的引脚排列及用途。 四、实验内容及步骤 实验前按数字电路实验箱使用说明书先检查电源是否正常,然后选择实验用的集成块芯片插入实验箱中对应的IC座,按自己设计的实验接线图接好连线。注意集成块芯片不能插反。线接好后经实验指导教师检查无误方可通电实验。实验中

1.与非门电路逻辑功能的测试 (1)选用双四输入与非门74LS20一片,插入数字电路实验箱中对应的IC座,按图1.1接线、输入端1、2、4、5、分别接到K1~K4的逻辑开关输出插口,输出端接电平显 图 1.1 示发光二极管D1~D4任意一个。 (2)将逻辑开关按表1.1的状态,分别测输出电压及逻辑状态。 表1.1 输入输出 1(k1) 2(k2) 4(k3) 5(k4) Y 电压值(v) H H H H 0 0 L H H H 1 1 L L H H 1 1 L L L H 1 1 L L L L 1 1 2. 异或门逻辑功能的测试

图 1.2 (1)选二输入四异或门电路74LS86,按图1.2接线,输入端1、2、4、5接逻辑开关(K1~K4),输出端A、B、Y接电平显示发光二极管。 (2)将逻辑开关按表1.2的状态,将结果填入表中。 表1.2 输入输出 1(K1) 2(K2) 4(K35(K4) A B Y 电压(V) L H H H H L L L H H H H L L L H H L L L L L H H 1 1 1 1 1 1 1 1

数字电子技术实验报告

实验一组合逻辑电路设计与分析 1.实验目的 (1)学会组合逻辑电路的特点; (2)利用逻辑转换仪对组合逻辑电路进行分析与设计。 2.实验原理 组合逻辑电路是一种重要的数字逻辑电路:特点是任何时刻的输出仅仅取决于同一时刻输入信号的取值组合。根据电路确定功能,是分析组合逻辑电路的过程,一般按图1-1所示步骤进行分析。 图1-1 组合逻辑电路的分析步骤 根据要求求解电路,是设计组合逻辑电路的过程,一般按图1-2所示步骤进 行设计。 图1-2 组合逻辑电路的设计步骤 3.实验电路及步骤 (1)利用逻辑转换仪对已知逻辑电路进行分析。 a.按图1-3所示连接电路。 b.在逻辑转换仪面板上单击由逻辑电路转换为真值表的按钮和由真值表导出 简化表达式后,得到如图1-4所示结果。观察真值表,我们发现:当四个输入变量A,B,C,D中1的个数为奇数时,输出为0,而当四个输入变量A,B,C,D 中1的个数为偶数时,输出为1。因此这是一个四位输入信号的奇偶校验电路。

(2)根据要求利用逻辑转换仪进行逻辑电路的设计。 a.问题提出:有一火灾报警系统,设有烟感、温感和紫外线三种类型不同的火 灾探测器。为了防止误报警,只有当其中有两种或两种以上的探测器发出火灾探测信号时,报警系统才产生报警控制信号,试设计报警控制信号的电路。 b.在逻辑转换仪面板上根据下列分析出真值表如图1-5所示:由于探测器发出 的火灾探测信号也只有两种可能,一种是高电平(1),表示有火灾报警;一种是低电平(0),表示正常无火灾报警。因此,令A、B、C分别表示烟感、温感、紫外线三种探测器的探测输出信号,为报警控制电路的输入、令F 为报警控制电路的输出。 图1-4 经分析得到的真值表和表达式

数字电路基础实验3

数字电子电路的基础实验 实验3 触发器及其应用 一、实验目的 1、掌握基本RS 、JK 、D 和T 触发器的逻辑功能; 2、掌握集成触发器的逻辑功能及使用方法; 3、掌握触发器之间相互转换的方法。 二、实验原理 触发器具有两个稳定状态,分别表示逻辑状态“1”和“0”。在一定的外界信号作用下,它可以从 一个稳定状态翻转到另一个稳定状态,是一个具有记忆功能的二进制信息存储器件,是构成各种时序电路的最基本逻辑单元。 1、 基本RS 触发器 图4.29为由两个与非门交叉耦合构成的基本RS 触发器,它是无时钟控制低电平直接触发的触发器。基本RS 触发器具有置“0”、置“1”和“保持”三种功能。通常称S 为置“1”端,因为S =0(R =1)时触发器被置“1”;R 为置“0”端,因为R =0(S =1)时触发器被置“0”,当S =R =1时状态保持;S =R =0时,触发器状态不定,应避免此种情况发生,表4.18为基本RS 触发器的功能表。基本RS 触发器。也可以用两个“或非门”组成,此时为高电平触发有效。 2、JK 触发器 在输入信号为双端的情况下,JK 触发器是功能完善、使用灵活和通用性较强的一种触发器。本实验采用74HC112双JK 触发器,是下降边沿触发的边沿触发器。引脚功能及逻辑符号如图4.30所示。JK 触发器的状态方程为:Q n+1 =J Q n +K Q n 表4.18 基本RS 触发器的功能表 图4.29 基本RS 触发器 J 和K 是数据输入端,是触发器状态更新 的依据,若J 、K 有两个或两个以上输入端时, 组成“与”的关系。Q 与Q 为两个互补输出 端。通常把 Q =0、Q =1的状态定为触 发器“0”状态;而把Q =1,Q =0定为 “1”状态下降沿触发JK 触发器的功能如 表4.19所示。 注:×为 任意态, ↓为高到低电平跳变, 图4.30 74HC112的引脚排列图及逻辑符号

《电路与电子技术基础》期末考试卷以及答案

《电路与电子技术基础》2004~2005学年第?二学期期末考试试卷(A ) 时间:120分钟 班级 学号 姓名 成绩 【注意事项】 1.答题用黑色或兰色钢笔与圆珠笔,作图可以用铅笔; 2.除可以携带指定的文具用品(钢笔、圆珠笔、铅笔、橡皮、绘图仪器、无存储功能的计算器)外,其他物品不准带入考场(手机必须关机,参考资料与书包全部放到教室前面); 3.遇有试卷字迹不清、卷面缺损等问题,可以先举手,经监考人员同意后方可提问。 一、单项选择题(本题共40分,每题2分,将你认为正确的选项对应的 字母填在括号内) 1.测得一放大电路中三极管各极电压如考试题图1所示:该管为(C )。 A. PNP 型硅管 B. NPN 型硅管 C. PNP 型锗管 D. NPN 型锗管 2.正常工作状态下的稳压二极管,处于伏安特性曲线中的( B )。 A. 正向特性工作区 B. 反向击穿区 C. 正向特性非工作区 D. 特性曲线所有区域 3.组合逻辑电路的设计是指( A )。 A. 已知逻辑要求,求解逻辑表达式并画逻辑图的过程 B. 已知逻辑要求,列真值表的过程 C. 已知逻辑图,求解逻辑功能的过程 4.考试题图2所示电路的u S (t ) = 2 cos t V ,则电感电流 i L (t )的初相等于( D )。 A. 26.6° B. -26.6° C. 63.4° D. -63.4° 5.电流并联负反馈对放大器的输入、输出的电阻的影响 是( B )。 A. 减小输入电阻及输出电阻 B. 减小输入电阻、增大输出电阻 C. 增大输入电阻、减小输出电阻 D. 增大输入电阻及输出电阻 6.考试题图3所示电路中的电压U 为( C )。 A. 5V B. -5V C. 10V D. 7V 7.TTL 与非门中多余的输入端应接电平是( C )。 A. 低 B. 地 C. 高 D. 悬空 8.考试题图4所示电路的节点电压方程为( )。 A. 11112111R U I U R R s s +=???? ??+ B. 1111 321 111R U I U R R R s s +=???? ??++ 考试题图 3 ① 1 考试题图2 u s (t

数字电子技术实验练习内容

数字电子技术实验练习内容 实验二TTL与非门的应用 一、实验内容 1.用五个二输入与非门设计一个半加器。 2.用二输入与非门设计一个三开关控制同一灯泡电路,要求三个开关能够独立控制灯泡的亮灭。 3.用一个四输入与非门与三个二输入与非门设计一个电路,实现函数 ∑ ,9,8,7,6,5,4( 10 , ) ABCD (m F。要求只有原变量输入、没有反变量输 , 14 =) 11 , 13 , 12 入。 4.用九个二输入与非门设计一个一位全加器。 二、思考题 1.TTL门电路的闲置输入端应如何处理? 2.写出影响TTL与非门扇出系数的两个重要参数的概念。 3.TTL门电路的电压传输特点就是什么? 实验三CMOS与非门的应用 一、实验内容 1.用CD4011与非门设计一个同或门电路与一个异或门电路。 2.利用一块CD4011设计一个楼上、楼下开关的控制逻辑电路来控制楼梯上的路灯,使之在上楼前,用楼下开关打开电灯,上楼后,用楼上开关熄灭电灯;或者在下楼前,用楼上开关打开电灯,下楼后,用楼下开关熄灭电灯。 3.密码锁共有三个按钮,当三个按钮未按下或第一个按钮单独按下时,锁既不打开也不报警;只有当三个按钮同时按下、或者第一个第二个按钮同时按下、或者第一个第三个按钮同时按下时,锁才能被打开,当按下按钮不属于上述状况时,将发出报警信息。要求:用两块CD4011设计逻辑电路,使用的与非门数量最少,以达到最佳设计方案。 二、思考题 1.CMOS集成电路或门、或非门的闲置输入端如何处理? 2.CMOS集成电路的电压传输特性有什么特点? 3.CMOS集成与非门、与门的闲置输入端如何处理? 实验五组合逻辑电路的设计 一、实验内容 1.用74LS86与74LS00设计四开关控制同一灯泡电路,要求四个开关能够独立控制灯泡的亮灭。 2.用74LS86、CD4081、CD4071设计一个一位全加器电路。 3.用异或门、与门设计一个半加器电路。 4.用异或门与与非门设计一个一位全加器电路。 二、思考题 1.74LS54与或非门的闲置端如何处理?

数字电子技术实验-在线

数字电子技术综合实验指导书 实验一门电路功能测试及应用 一.实验目的 1.掌握了解TTL系列、CMOS系列外形及逻辑功能。 2.熟悉各种门电路参数的测试方法。 3. 熟悉集成电路的引脚排列 二、实验仪器及材料 a)东南大学在线实验平台-SEU远程实境实验平台数字逻辑电路实验 三.预习要求 1)复习门电路工作原理及相应逻辑表达式。 2)常用TTL门电路和CMOS门电路的功能、特点。 3)熟悉所用集成电路的引线位置及各引线用途。 四、实验原理及芯片外引线图、逻辑符号及逻辑图 1.TTL门电路 TTL门电路是数字电路中应用最广泛的门电路,基本门有与门、或门和非门。复合门有与非门、或非门、与或非门和异或门等。这种电路的电源电压为+5V,电源电压允许变化范围比较窄,一般在 4.5~5.5V 之间。高电平的典型值是3.6V(高电平≥2.4V合格),低电平的典型值是0.3V(低电平≤0.45V合格)。 对门电路的多余输入端,最好不要悬空,虽然对TTL门电路来说,悬空相当于逻辑1,并不影响与门、与非门的逻辑关系,但悬空容易接受干扰,有时会造成电路的误动作。不同的逻辑门,其多余输入端的处理有不同的方法。 ⑴TTL与门、与非门多余输入端的处理 TTL与门、与非门多余输入端的处理方法是:把多余的输入端与有用的输入端并联使用;把多余输入端接高电平或通过串接限流电阻(大于或等于1KΩ)接V CC。实际使用中多采用把多余的输入端通过串接限流电阻接V CC的方法。其处理方法如图5-1所示。 (a) (b) (c) 图5-1 TTL与门与非门多余输入端的处理方法 ⑵TTL或门、或非门多余输入端的处理 TTL或门、或非门多余输入端的处理方法是:把多余的输入端与有用的输入端并联使用;把多余的输入端接低电平或接地。 2.CMOS门电路 CMOS门电路具有输入电阻高、功耗小、制造工艺简单、集成度高、电源电压变化范围大(3~18V)、输出电压摆幅大和噪声容限高等优点,因而在数字电路中得到了广泛的应用。高电平的典型值是电源电压V DD,低电平的典型值是0V。由于CMOS门电路的输入电阻很高,容易受静电感应而造成击穿,使其损坏,因此使用时应注意以下几点:

数字电子技术实验指导书 新

数字电子技术基础 实验指导书 (适用于数字逻辑、数字电子技术基础、数字电子技术等课程) 北京印刷学院 1

北京印刷学院 信息与机电工程学院 信息工程系 《数字电子技术基础实验》 指 导 书 (适用于数字逻辑、数字电子技术基础、数字电子技术等课程) 电路教研室编 2

3 实验一 示波器的实验研究 一、实验目的与要求 1.掌握COS5020型或V —212E 型双踪示波器的使用方法 2.掌握用示波器测量脉冲波形主要参数的方法 3.熟悉TPE —D6数字电路学习机的使用 二、实验设备与器材 1.双踪示波器 2.数字电路学习机 三、实验内容与步骤 1.双线显示示波器内的CAL 信号 通过检验该信号的周期与幅度,熟悉示波器各旋钮的作用,并测量该信号的周期与幅度。 =CAL V =C A L T 2.示波器测量 用示波器测量数字电路学习机中CP 脉冲的周期(开关放在可调连续脉冲Ⅰ、Ⅱ位置,电位器顺逆时针旋转到底位置),以及该脉冲的逻辑高电平。 =I ax m V =I min T = ax m V = min T 3.观察与测量RC 网络对矩形波信号的响应 本实验所用的电路形式如图1-1所示。 图1-1 RC 实验电路 v I 为输入方波信号,其周期为T =0.1ms 。 (1)RC 微分电路 实验电路中的Z 1和Z 2分别是电容C 和电阻R ,元件参数按表1-1选取,观察与测量输出信号v O 的波形,并测量其脉冲宽度。 (2)RC 积分电路 实验电路中的Z 1和Z 2分别是电阻R 和电容C ,元件参数按表1-2选取,观察与测量输出信号v O 的波形,并测量其脉冲上升时间。 四、预习要求

电路与电子技术基础习题答案

《电路与电子技术基础》参考解答 习题一 1-1 一个继电器的线圈,电阻为48Ω,当电流为0.18A 时才能动作,问线圈两端应施加多大的电压? 答:根据欧姆定律可得:U=IR=0.18*48=8.64V 1-2 一个1000W 的电炉,接在220V 电源使用时,流过的电流有多大? 答:由电路的功率计算公式可知:P=UI ,所以A 55.4220 1000===U P I 1-3 求题图1-1(a)、(b)电路得U ab 。 解:(1)图(a),由a 到b 的电压降U ab =U ac +U cb ,假定电流方向如图所示,沿a —电池—c —a 回路逆时针方向绕行一周,电压方程式为: -6+4I+2I=0 即得:I=1A 则U ac =2(-I)=-2V (或者U ac =-6+4I=-2V ) 对于cb 支路:因为构不成回路,所以电流为零。故:U cb =4V # 所以:U ab =U ac +U cb =-2+4=2V # (2)图(b),由a 到b 的电压降U ab =U ac +U cb ,假定电流方向如图所示,与(a)同理在回路中列出电压方程为: -3+1I+2I=0 即得:I=1A 则U ac =1(-I)=-1V (或者U ac =-3+2I=-1V ) 对于cb 支路:因为构不成回路,所以电流为零。故:U cb =8V 所以:U ab =U ac +U cb =-1+8=7V # 1-7 电路如题图1-2所示,求 (1)列出电路得基尔霍夫电压定律方程; (2)求出电流 (3)求U ab 及U cd 解:(1)假设电流的参考方向如图所示,对于db 支路,因为不构成回路,支路电流等于零, U db =10V 由a 点出发按顺时针方向绕行一周的KVL 电压方程式为:2I+12+1I+2I+2I+1I-8+2I=0 得:10I+4=0 # (2)求电流 由上面得回路电压方程式得: )A (4.010 4 -=- =I # 6V I 2Ω a 4Ω b c 4V 2Ω (a) I 1Ω 2Ω 3V c a 8V 5Ω b (b) 题图1-1 习题1-3电路图 I 12V 1Ω 2Ω 10V 2Ω a b c d 4Ω 2Ω 2Ω 8V 1Ω 题图1-2 习题1-7电路图

暨南大学数字电子技术实验3报告

暨南大学本科实验报告专用纸 课程名称数字逻辑电路实验成绩评定 实验项目名称组合逻辑电路组装与设计测调试指导教师秦岭松 实验项目编号实验项目类型验证型实验地点 B406 学生姓名学号 学院电气信息系专业 实验时间年月日下午~月日午温度℃湿度 一.实验目的 1.深入学习掌握组合逻辑电路的设计和安装方法。 2.进一步加深数字逻辑电路调测试方法掌握。 3.学习DS-4 型数字系统综合实验平台可编辑数字波形发生器组成和 使用方法。 二.实验器件、仪器和设备 1.四双输入与非门74LS00 1片 2.四异或门74LS86 1片 3.双4输入与非门74LS20 1片 4.PC机(数字信号显示仪) 5.数字万用表UT56 6.TDS-4数字系统综合实验平台 7.GOS-6051示波器 暨南大学本科 实验报告专用 纸(附页)

三.实验步骤和测试分析

1.组合逻辑电路测试方法介绍 数字电路静态测试方法是:给定数字电路若干组静态输入值,测定数字电路的输出值是否正确。数字电路状态测试的过程是在数字电路设计好后,将其安装连接成完整的线路,把线路的输入接到逻辑电平开关上,线路的输出接到电平指示灯(LED)或用万用表测量进行电平测试,按功能表或状态表的要求,改变输入状态,观察输入和输出之间的关系是否符合设计要求。数字电路电平测试是测量数字电路输入与输出逻辑电平(电压)值是否正确的一种方法。 静态测试是检查设计与接线是否正确无误的重要一步。 数字电路动态测试方法是:在静态测试的基础上,按设计要求在输入端加动态脉冲信号,观察输出端波形是否符合设计要求,这是动态测试,动态测试的主要目的测试电路的频率特性(如测试电路使用时的频率范围)等)及稳定特性等。 测试数据分析: 1)要使74系列TTL集成芯片正确工作除了必须接好电源(+V CC =5V和地GND)外,还必须使逻辑输入低电平≤0.8V(越靠近0V 越好),输入高电平≥2V (越靠近5V越好),如果不按照此输入 电平要求进行信号输入就可能损坏芯片或得不到正确的逻辑输出 电平。 2) 74系列TTL集成芯片正确的输出逻辑低电平≤0.2V (越靠 近0V越好),输出高电平≥3.5V (越靠近5V越好),如果芯片输 出逻辑电平不符合以上值,这说明有可能芯片损坏、或者电路连 接、或者设计存在问题,实验过程中随时必须对测试的数据进行 分析,当发现测试数据不符合逻辑电平的有效输出值或不满足设 计要求逻辑电平时,电路可能存在问题,对于存在的问题必须查 找原因,并解决存在问题,这样才能使得实验者获得理论和实验 水平的提高,从而达到做实验的真正目的。 2.裁判合格信号逻辑电路组装与测试 1)逻辑电路组装 根据预习时画出的举重比赛裁判合格信号逻辑电路接线图,采用74LS00芯片组装实现举重比赛裁判合格信号逻辑电路。 2)电路测调试 i.采用静态测试方法进行电路测调试。 暨南大学本科实验报告专用纸(附页)

相关文档