文档库 最新最全的文档下载
当前位置:文档库 › 机械工业出版社数电习题答案

机械工业出版社数电习题答案

机械工业出版社数电习题答案
机械工业出版社数电习题答案

第1章习题答案

1-1.按照集成度分类,试分析以下集成器件属于哪种集成度器件:(1)触发器;(2)中央处理器;(3)大型存储器;(4)单片计算机;(5)多功能专用集成电路;(6)计数器;(7)可编程逻辑器件。

解:(1)小规模;(2)大规模;(3)超大规模;(4)超大规模;(5)甚大规模;(6)中规模;(7)甚大规模。

1-2.将下列十进制数转换为二进制数、八进制数和十六进制数。

(1)45(2)78(3)25.125 (4)34.25 (5)65 (6)126

解:(1)(45)10=(101101)2=(55)8=(2D)16(2)(78)10=(1111000)2=(170)8=(78)16(3)

(25.125)10=(11001.001)2=(170.1)8=(78.2)16(4)(34.25)10=(100010.01)2=(42.2)8=(22.4)16

(5)(65)10=(1100101)2=(145)8=(65)16(6)(126)10=(1111110)2=(176)8=(7E)16

1-3.将下列十六进制数转换为二进制数和十进制数。

解:(1)(49)16=(1001001)2=(73)10(2)(68)16=(1101000)2=(104)10(3)(22.125)16=(1100101)2=(145)10(4)(54.25)16=(1010100.00100101)2=(84.14453125)10(5)(35)16=(110101)2=(53)10(6)(124)16=(100100100)2=(292)10

1-4.将下列八进制数转换为二进制数和十进制数。

解:(1)(27)8=(010111)2=(23)10(2)(56)8=(101110)2=(46)10(3)(12.34)8=(1010.011100)2=(10.4375)10(4)(74.25)8=(111100.010101)2=(84.328125)10(5)(35)8=(11101)2=(29)10(6)(124)8=(1010100)2=(84)10

1-5.将下列二进制数转换为十六进制数、八进制和十进制数。

解:(1)(1110001)2=(71)16=(161)8=(113)10(2)(10101.001)2=(15.2)16=(25.1)8=(21.125)10(3)(10111.1101)2=(17.D)16=(27.64)8=(23.8125)10(4)(10001)2=(11)16=(21)8=(17)10(5)(1010101)2=(55)16=(125)8=(85)10

1-6.试求出下列8421BCD码对应的十进制数。

解:(1)(111001)8421BCD=(39)10(2)(1001.0010)8421BCD=(9.2)10(3)(10111.1000)8421BCD=(17.8)10(4)(100001)8421BCD=(21)10(5)(1010101.00100111)8421BCD=(55.27)10

1-7.试求出下列5421BCD码对应的十进制数。

解:(1)(111001)5421BCD=(36)10(2)(1000.0011)5421BCD=(5.3)10(3)(10100.1100)5421BCD=(14.9)10(4)(10100001)5421BCD=(71)10(5)(00111011.00101010)5421BCD=(38.27)10

1-8.试求出下列格雷码对应的二进制数码。

解:(1)(0011)格雷码=(0010)2(2)(1000.0100)格雷码=(1111.0111)2(3)(0101.1100)格雷码=(0110.1000)2(4)(10010001)格雷码=(11100001)2(5)(00111011.00101010)格雷码=(00101101.00111100)2

1-9.试求出下列二进制数码对应的格雷码。

解:(1)0111=(0100)格雷码(2)1010.0101=(1111.0111)格雷码(3)1101.1101=(1111.0111)格雷码(4)10110101=(11100111)格雷码(5)00111111.00101011=(00101000.00111110)格雷码

1-10.略

1-11.略

1-12.略

1-13.略

第2章习题答案

2-1解:

2-2解:C=1时,Y=A ;C=0时,Y=AB 。波形如下:

2-3略

2-4 (1) 0=Y

(2) 原题有误,改为 )

)((B A C B AD CD A B A Y +++= 0=Y

(3) E ABCD Y = (4) D A D A C B Y ++= (5) E CD A Y ++=

2-5 (1) A C B A B A Y ))((+++=;A C B A B A Y ))((+++=' (2) )()(C A B A C A Y +++=;)()(C A B A C A Y +++='

(3) )())((C B A D C D C B A Y ++??++=;)())((C B A CD D C B A Y ++?++=' (4) )(C B A C B A C B A Y ++=;)(ABC C B A C B A Y ++=' 2-6 (1) )7,6,5,3(m Y ∑=

(2) )15,4,1,13,12,11,10,9,8,4,1,0(m Y ∑= (3) )6,5,4,3,2,1,0(m Y ∑=

2-7(1)解:

A Y =

(2)解:

C B A Y ++=

(3)解:

D C A D C C A C B Y +++=

(4)解:

D C C B A Y ++=

2-8(1)解:

A

+

=

C

Y+

A

B

C

A

(2)解:

Y+

+

=

A

AC

C

B

B

或者

Y+

+

=

B

C

A

AB

C

(3)解:

Y+

A

=

C

+

+

C

D

A

B

B

A

或者

D B C B C A C A Y +++=

2-9(1) 解:

B A Y +=

(2) 解:

C A Y +=

2-10(1) 化简得:)(C B A AC AB Y +=+= 真值表如表所示:

逻辑图如图所示:

波形图如图所示:

卡诺图如图所示:

(2)化简得:ABC Y 真值表如表所示:

逻辑图如图所示:

波形图如图所示:

卡诺图如图所示:

第3章 3-1

3-2

解:

(a )当输入端悬空时,U BE =-10V ,三极管处于截止状态,u O =10V 。 若u I =0V ,三极管处于截止状态,u O =10V 。 若u I =5V ,则基极电流mA 308.020

)

10(7.01.57.05=----=

B i ,而基极饱和电流100.16mA 302

CES BS U I -=

=?,所以BS B I i ?,三极管处于饱和状态,u O = U CES =0.3V 。

(b )当输入端悬空时,则基极电流mA 075.018

)

8(7.07.437.05=---+-=

B i ,而

50.047m A

502

C E S

BS U I -=

=?所以,BS B I i ?三极管处于饱和状态,u O =U CES =0.3V 。 若u I =0V ,则三极管截止,u O =5V 。 若u I =5V ,则基极电流mA 432.018

)

8(7.07.47.05=----=B i ,可见,BS B I i ?三极管饱和导通,u O =U CES =0.3V 。 3-3

解:对应波形如图所示

A

B

Y (与门)Y (或门)Y (与非门)Y (或非门)

3-4 解:

A

B

Y (E =0

)Y (E =1

3-5 解:(1)0.7V ;(2)0.7V ;(3)0.7V ;(4)4.3V 3-6 解:(1)2.9V ;(2)2.9V ;(3)0V ;(4)2.9V 3-7

解:a)电阻小于关门电阻,相当于低电平,输出固定为1;b )电阻大于开门电阻,相当于高电平,输出2Y A =;c)悬空相当于接高电平,输出3Y A =;d)电阻小于关门电阻,相当于低电平,输出

4Y A =; e )电阻大于开门电阻,相当于高电平,输出固定为1;f )输出固定为1;h )输出固定

为0 3-8

解:a) 1Y AB =;b )2Y A B =+;c) 3Y A =;d) 4Y A =; e )50Y =;f )6Y A =;h )8Y AB = 3-9

解:b,d,g

3-10写出图3-53所示电路的表达式。

C

Y

G

图3-53习题3-10的图

解:

()1()()Y C A CB C A CB C A CB A C B C AC BC

=?+⊕=?+=??=++=+

3-11

解:解:根据电路图中的输入输出,通过对电路逻辑电平的分析可以确定各电路的逻辑关系。 (a )ABCDE Y =1 (b )E D C B A Y ++++=2 (c )DEF ABC Y +=3 (d )F E D C B A Y ++?++= 4 3-12

解:a) 1Y AB =;b) 2Y AB =; c) 3Y AB AB =+; d) 41,0,EN EN Y AB ===不工作; 3-13 解: a)

1Y AB AB

=;b)

20,1,+EN EN Y BC DE A

===+输出高阻态;; c);

30,1,EN Y A EN ===;输出高阻态 d) 40,1,EN Y A EN ===;输出高阻态

第4章 4-1

(a )表达式为:()()Y A AB B AB A AB B AB A A B B A B AB AB A B =???=?+?=+++=+=⊕,

该电路具有异或功能。

(b )表达式为:

()()()()()

Y ABC A ABC B ABC C ABC A ABC B ABC C ABC AB ABC C =?+?+?=+++=+?+

ABC ABC

=+

由表可知,该电路为(c)略;(d )略;(e )略;(f )略。 4-2 略 4-3

解:当XY=00时,S=C ;当XY=01时,S=C+2;当XY=10时,S=C+3;当XY=11时,S=C+5 4-4 此图为十线---四线编码器(输入低电平有效,输出高电平有效)。 4-5 (a)图:

ABC C B A m m +=+=

70Y

(b)图:

1Y 57m m =+=AC

BC C B A C B 74312++=+++=A m m m m Y C AB C B m m m Y +=++=6403

4-6 0、1、2、3、4

4-7

(a )BC C A C B BC C B A C B Y ++=++= (b)D ABC D C AB C B A D C B A BC A ++++=Y C B A D B A D AB BC A +++=

4-8 略

4-9

该电路由编码器、译码器和显示电路三部分组成。

对应奇数输入端为低电平时,发光二极管导通发光,对应偶数输入端为低电平时,发光二极管截止,不发光。

4-10 密码是0100。

4-11 图中七段LED 数码管为共阴极接法,当各字段的控制电平为高电平时,相应字段发光二极管正向导通而点亮。由于u 为f=1Hz 的正弦电压,所以当u >0时,比较器输出为1,a 、b 、c 、d 、g 字段点亮,显示数字3,持续时间为0.5s ;当u <0时,比较器输出为0,a 、c 、d 、e 、f 、g 字段点亮,显示数字6,持续时间为0.5s 。 4-12 略 4-13

根据真值表写出表达式,并可画出逻辑电路图(略)。 4-14 略 4-15 解:

1A B 00

Y

4-16 解:

Y

00

A 2

4-17 解:

Y 1

A

C

(2)略 4-18

1

Y 1

Y 2

4-19 略 4-20

Y 1(当A=0,B=1时)存在竞争冒险,通过增加乘积项可以消除,B BC

C A A Y 1++=; Y 2(当A=0,C=1时)存在竞争冒险,通过去掉乘积项可以消除,C

C A B A B Y 2

++=;

第5章习题答案

5-1 解:

D

S D

R Q Q

5-2 解:

Q

Q

D

S D

R

5-3 解:

CP R S

Q Q

5-4 解:

CP D

Q

Q

5-5 解:

CP

Q

a Q

b (0)Q

c Q

d (0)

Q

e Q

f (0)

Q g Q h

(0)

5-6 解:

CP

Q

a Q

b (0)

Q c Q d Q e Q f Q g Q h

(0)

(0)(0)

5-7 解:

CP J

K Q Q

5-8若JK 触发器CP 、D R 、D S 、J 、K 端的电压波形如图5-38所示,试画出Q 、Q 端对应的电压波形。

K

J D

R D

CP

D

S D

R Q

Q

解:

Q K

J D

R D CP

Q

5-9 解:

5-10 解:

CP

A Q a Q b Q c Q

d Q

e Q

f Q g

Q

h

(0)(0)

5-11略

5-12 解:

CP

T

Q 0Q 0

Q 1Q 1

5-13图5-43(a)是由JK 触发器构成的电路,设各触发器的初始状态为Q = 0,输入端CP 和A 的波形如图5-43(b)所示,试画出输出端Q 0、0Q 、Q 1、1Q 对应的电压波形。

CP A

A

1J C11K

R

1J CP

1J C11K

1

R

D

Q 1Q 1

Q 0Q 0

(b)

(a)

解:

CP A

Q 0Q 0Q 1

Q 1

5-14略

第6章习题答案

6-1

1.时钟方程

CP 0=CP 1=CP 2=CP 2.驱动方程

02

n

D Q =;10n D Q =;210n n D Q Q =

3.输出方程

20n

n Y Q Q =

4.状态方程

1002

n n

Q D Q +==;1110n n Q D Q +==;12210n n n Q D Q Q +== 5. 列出逻辑状态表

逻辑状态表如表所示:

逻辑状态表

6.确定时序逻辑电路的功能

该电路是同步五进制计数器,具有自启动能力。

6-2 驱动方程:311Q K J == ; 122Q K J ==;33213Q K Q Q J ==,

状态方程:n n n n n n n Q Q Q Q Q Q Q 13131311⊕=+=+; n n n n n n n Q Q Q Q Q Q Q 12212112⊕=+=+ ;

n n n n Q Q Q Q 12313 =+ 输出方程: 3Q Y =

态转换图 :

电路的逻辑功能:是一个五进制计数器,电路可以自启动。

6-3驱动方程: 01D AQ =, 110

D A Q Q = 状态方程:1001n n Q D AQ +==, 1010111()n n n n n Q D AQ Q A Q Q +===+

输出方程:01Y AQ Q =

由状态方程可得状态转换表,如表所示:

由状态转换表可得状态转换图:

电路的逻辑功能是:串行数据检测器,连续输入三个以上1时,输出为1,其余情况都为0。

6-4

1

6-5 状态图:

Q 3Q 2Q 1Q 0

波形图:

CP Q 3Q 2Q 1Q 0

6-6 13分频。 6-7 (a )七进制

(b )十进制

6-8 (a)十进制

(b)九进制(状态从0000至1000循环)。

6-9 (a)八进制

(b)二进制

6-10(a)十进制

(b)十进制

6-1163进制(低位片7进制,高位片9进制,7×9=63)6-12 (1)

数字电路试卷与答案

电子科技大学二零零六至二零零七学年第二学期期末考试 试卷评分基本规则 数字逻辑设计及应用课程考试题中文A卷(120分钟)考试形式:闭卷考试日期2007年7月日课程成绩构成:平时20 分,期中20 分,实验0 分,期末60 分 一、填空题(每空1分,共5分) 1、CMOS与非门的未用输入端应连接到逻辑(1)电平或者输入信号连接端上。 2、DAC的功能是将(数字)输入成正比地转换成模拟输出。 512 EPROM可存储一个(9 )输入4输出的真值表。 3、4 4、74X163的RCO输出有效条件是:仅当使能信号(ENT)有效,并且计数器的状态是15。 5、已知二进制原码为( 001101) 2 , 问对应的8-bit的补码为( 00001101)2. 二、单项选择题:从以下题目中选择唯一正确的答案。(每题2分,共10分) 1、八路数据分配器的地址输入端有(B)个。 A. 2 B. 3 C. 4 D. 5 2、以下描述一个逻辑函数的方法中( C)只能唯一表示。 A.表达式 B.逻辑图 C.真值表 D.波形图 3、实现同一功能的Mealy型同步时序电路比Moore型同步时序电路所需要的( B )。 A. 状态数目更多 B. 状态数目更少 C. 触发器更多 D. 触发器更少 4、使用移位寄存器产生重复序列信号“1000001”,移位寄存器的级数至少为(D)。 A. 2 B. 3 C. 4 D.5 5、下列各逻辑函数式相等,其中无静态冒险现象的是(D)。 A. F=B’C’+AC+A’B B. F=A’C’+BC+AB’ C. F=A’C’+BC+AB’+A’B D. F=B’C’+AC+A’B+BC+AB’+A’C’

数字电子技术基础习题及答案..

; 数字电子技术基础试题 一、填空题 : (每空1分,共10分) 1. 10 = ( ) 2 = ( ) 16 。 2 . 逻辑函数L = + A+ B+ C +D = 。 3 . 三态门输出的三种状态分别为:、和。 4 . 主从型JK触发器的特性方程= 。 5 . 用4个触发器可以存储位二进制数。 6 . 存储容量为4K×8位的RAM存储器,其地址线为条、数据线为条。【 二、选择题: (选择一个正确的答案填入括号内,每题3分,共30分 ) 1.设图1中所有触发器的初始状态皆为0,找出图中触发器在时钟信号作用下,输出电压波形恒为0的是:()图。 图 1

2.下列几种TTL电路中,输出端可实现线与功能的电路是()。 A、或非门 B、与非门 ( C、异或门 D、OC门 3.对CMOS与非门电路,其多余输入端正确的处理方法是()。 A、通过大电阻接地(>Ω) B、悬空 C、通过小电阻接地(<1KΩ) D、通过电阻接V CC 4.图2所示电路为由555定时器构成的()。 A、施密特触发器 B、多谐振荡器 C、单稳态触发器 D、T触发器 " 5.请判断以下哪个电路不是时序逻辑电路()。图2 A、计数器 B、寄存器 C、译码器 D、触发器 6.下列几种A/D转换器中,转换速度最快的是()。图2 A、并行A/D转换器 B、计数型A/D转换器 C、逐次渐进型A/D转换器 D、双积分A/D转换器 7.某电路的输入波形 u I 和输出波形 u O 如图 3所示,则该电路为()。

图3 . A、施密特触发器 B、反相器 C、单稳态触发器 D、JK触发器 8.要将方波脉冲的周期扩展10倍,可采用()。 A、10级施密特触发器 B、10位二进制计数器 C、十进制计数器 D、10位D/A转换器 9、已知逻辑函数与其相等的函数为()。 A、B、C、D、 10、一个数据选择器的地址输入端有3个时,最多可以有()个数据信号输出。 \ A、4 B、6 C、8 D、16 三、逻辑函数化简(每题5分,共10分) 1、用代数法化简为最简与或式 Y= A + 2、用卡诺图法化简为最简或与式

精选-数电试卷和答案

电子线路分析与实践2期末复习辅导 2010年10月 练习题 一、填空题 1.(11011)2 =(________)10 2.8421BCD 码的1000相当于十进制的数值 。 3.格雷码特点是任意两个相邻的代码中有_______位二进制数位不同。 4.逻辑函数的反演规则指出,对于任意一个函数F ,如果将式中所有的_________互换,_________互换,_________互换,就得到F 的反函数F 。 5.二极管的单向导电性是外加正向电压时 ,外加反向电压时 。 6.晶体三极管作开关应用时一般工作在输出特性曲线的 饱和 区和 截止 区。 7.TTL 三态门的输出有三种状态:高电平、低电平和 状态。 8. 集 电极开路门的英文缩写为 OC 门,工作时必须外加 和 。 9.一个2线-4线译码器,其输入端的数目与输出端数目相比较,后者较 。 10. 输出n 位代码的二进制编码器,一般有 __________个输入信号端。 11.全加器是指能实现两个加数和____________三数相加的算术运算逻辑电路。 12.时序逻辑电路的输出不仅与 当前输入状态 有关,而且与 输出的原始状态 有关。 13.与非门构成的基本RS 锁存器的特征方程是 S+ n Q R ,约束条件是 。 14.时序逻辑电路中,按照触发器的状态是否同时发生变化可分为 和 。 15.JK 触发器当J =K =________时,触发器Q n+1=Q n 。 16.用555定时器构成的多谐振荡器,若充放电回路中有电阻、电容,则该多谐振荡器形成的脉冲周期T ___0.7(R1+2R2)C ____。 17.A/D 转换需要经过 采样 、 保持 、 量化 和 编码 四个步骤。 18.根据D/A 转换器分辨率计算方法,4位D/A 转换器的分辨率为 6.7% 。 19.DAC 的转换精度包括 分辨率 和 转换误差 。 20.为使采样输出信号不失真地代表输入模拟信号,采样频率f s 和输入模拟信号的最高频率f i max 的关系是 。 21.在A/D 转换时,将一个时间上连续变化的模拟量转换为时间上离散的模拟量的过程称采样。 22.在A/D 转换中,用二进制码表示指定离散电平的过程称为 量化 。 23.CPLD 的含义是 。 二、选择题 1. 十进制数85转换为二进制数为( ) A .1001011 B .1010011 C .1100101 D .1010101 2. 二进制数11011转换为十进制数为( ) A .32 B .27 C .64 D .128 4. 8421BCD 码110011.001表示十进制为( ) A .33.2 B .51.0125 C .63.2 D .51.2 5.在下列一组数中,与2)111001(相等的数是( ) A .16)34( B .(65)8 C . 10 )57(

数字电子技术基础试题及答案(一)

数字电子技术基础期末考试试卷 1.时序逻辑电路一般由和两分组成。 2.十进制数(56)10转换为二进制数为和十六进制数为。 3.串行进位加法器的缺点是,想速度高时应采用加法器。 4.多谐振荡器是一种波形电路,它没有稳态,只有两个。 5.用6个D 触发器设计一个计数器,则该计数器的最大模值M=。 123(1(24.T ,图1 5 时,6.D 触发器 的Q 和Q1的表达式,并画出其波形。 图 D=Q n+1=Q 1= 7.已知电路如图4所示,试写出: ①驱动方程; ②状态方程; ③输出方程; ④状态表;

⑤电路功能。图4 1.设计一个三变量偶检验逻辑电路。当三变量A 、B 、C 输入组合中的“1”的个数为偶数时F=1,否则F=0。选用8选1数选器或门电路实现该逻辑电路。 要求: (1)列出该电路F(A,B,C)的真值表和表达式; (2ABCF 2求: (1(21.3.4.产生5.32 10分,共 70分) 1.解: 2.证明:左边 3.解: (1)化简该 函数为最简与或式: 解: F 3()43A B C D E A B C D E AB AC A D E =++++--------------=?+++--------------=++-------------分 分 分 ()()33()(1)22BC D B C AD B BC D BAD CAD BC BC BC D BA CA =++++--------------=++++--------------=++++-----------------------分 分分分

填对卡诺图圈对卡诺图-----------2分 由卡诺图可得: F A B A C D A C D B C B D =++++------------------------------2分 (2)画出用两级与非门实现的最简与或式电路图: 则可得电路图如下:------------------------------------------------2分 4.T 1=0.7T=0.7f= T 1=q= 1T T 5.6. 方程: n n n Q Q K Q 0 0000=+ 1111110(n n n n Q J Q K Q Q X +=+=⊕(2分) ③输出方程:n n Q Q Y 01=-----------------------------------------(1分) ④状态表:--------------------------------------------------------------------(3分) ⑤从状态表可得:为受X 控制的可逆4进制值计数器。-----------------------------(2分) 1.解:(1)依题意得真值表如下:--------------------------3分 0102J J Q ⊕(分)

数字电路试卷标准答案

标准答案及评分标准 课程名称:数字电路 适用专业(班级): 课程归属:理工学科部 是否可携带(填写计算器、词典等):计算器 开卷、闭卷:闭卷 学科部主任: 出卷人: ―――――――――――――――――――――――――――――――――― 一.选择题(每小题2分,共20分) 1.一位8421BCD 码译码器的数据输入线与译码输出线的组合是 【 C 】 A .4:6 B.1:10 C.4:10 D.2:4 2.若输入变量A 、B 全为1时,输出F=1,则其输入与输出的关系是 【 B 】 A.异或 B.同或 C.或非 D.与或 3.在下列逻辑电路中,不是组合逻辑电路的是 【 D 】 A. 译码器 B. 加法器 C. 编码器 D.寄存器 4.一个8选一的数据选择器,其地址输入(选择控制输入)端的个数是 【 C 】 A.4 B.2 C.3 D.16 5.最小项ABCD 的逻辑相邻最小项是 【 A 】 A. ABCD B. ABCD C. ABCD D. ABCD 6.同步计数器和异步计数器比较,同步计数器的最显著优点是 【 A 】 A .工作速度高 B.触发器利用率高 C.电路简单 D.不受时钟CP 控制 7.一位8421BCD 码译码器的数据输入线与译码输出线的组合是 【 C 】 A .4:6 B.1:10 C.4:10 D.2:4 8.组合逻辑电路通常由【 】组合而成。 【 B 】 A.触发器 B.门电路 C.计数器 D.锁存器 9.8线—3线优先编码器的输入为I0—I7 ,当优先级别最高的I7有效时,其输出0 12Y Y Y ??的值是 【 C 】 A.111 B.010 C.000 D.101 10.逻辑表达式A +B C = 【 C 】 A.A+B B. A+C C.(A+B )(A+C ) D.B+C

数电习题及答案

1、3线–8线译码器分析 【习题】分析图P4–5所示电路,写出F1、F2、F3的函数表达式。 图P4–5 (1)3线–8线译码器特性: Y m A A A Y m A A A Y m A A A Y m A A A Y m A A A Y m A A A Y m A A A Y m A A A 0021044210 1121055210 2221066210 3321077210 ==== ==== ==== ====(2)利用3线–8线译码器特性写函数表达式: CBA D A B C D A B C D m m m m m m Y Y Y F + ? + ? ? ? = + + = ? ? = ? ? = 7 4 1 7 4 1 7 4 1 1 2、3线–8线译码器设计 【例4–9】用译码器实现一组多输出函数 F AB BC AC F AB BC ABC F AC BC AC 1 2 3 =++ =++ =++ ? ? ? ? ? (1)将输出函数写成最小项表达式,并进行变换: 7 5 4 1 7 5 4 1 7 5 4 1 1 ) ( ) ( ) ( Y Y Y Y m m m m m m m m ABC C B A C B A C B A C B B A C B A A C C B A AC C B B A F ? ? ? = ? ? ? = + + + = + + + = + + + + + = + + = (2)画实现电路:

3、触发器电路状态转移方程 【习题】 (1)A Q A AQ J n n =+=11 B Q B BQ K n n =+=11 ][][111111↓?+=+=+CP BQ Q A Q K Q J Q n n n n n (2)n Q A D 2⊕= ][][22212↑?+=⊕==+CP Q A Q A Q A D Q n n n n 4、小规模时序逻辑电路分析 【例6–1】参见教材。

(完整版)华南理工大学数字电子技术试卷(含答案)

诚信应考,考试作弊将带来严重后果! 华南理工大学期末考试 《数字电子技术》试卷A 注意事项:1. 考前请将密封线内填写清楚; 2. 所有答案请直接答在试卷上(或答题纸上); 3.考试形式: 闭卷; 。 题号一二三四总分 得分 评卷人 一. 单项选择题:(在每小题的备选答案中选出一个正确的答案,并将正确答案 10 题号 123456789 答案 1 A.10000000 B. 000100101000 C.100000000 D.100101000 2.已知函数F的卡诺图如图1-1, 试求其最简与 或表达式 3. 已知函数的反演式为,其 原函数为()。 A. B . C. D. 4.对于TTL数字集成电路来说,下列说法那个是错误的: (A)电源电压极性不得接反,其额定值为5V; (B)不使用的输入端接1; (C)输入端可串接电阻,但电阻值不应太大; (D)OC门输出端可以并接。 5.欲将正弦信号转换成与之频率相同的脉冲信号,应用 A.T,触发器 B.施密特触发器

C.A/D转换器 D.移位寄存器 6.下列A/D转换器中转换速度最快的是()。 A.并联比较型 B.双积分型 C.计数型 D.逐次渐近型 7. 一个含有32768个存储单元的ROM,有8个数据输出端,其地址输入端有()个。 A. 10 B. 11 C. 12 D. 8 8.如图1-2,在TTL门组成的电路中,与非门的输入电流为I iL≤–1mA?I iH≤20μA。G1输出低电平时输出电流的最大值为I OL(max)=10mA,输出高电平时最大输 出电流为I OH(max)=–0.4mA 。门G1的扇出系数是()。 A. 1 B. 4 C. 5 D. 10 9.十数制数2006.375转换为二进制数是: A. 11111010110.011 B. 1101011111.11 C. 11111010110.11 D. 1101011111.011 10. TTL或非门多余输入端的处理是: A. 悬空 B. 接高电平 C. 接低电平 D.接”1” 二.填空题(每小题2分,共20分) 1.CMOS传输门的静态功耗非常小,当输入信号的频率增加时,其功耗将______________。 2. 写出四种逻辑函数的表示方法: _______________________________________________________________; 3.逻辑电路中,高电平用1表示,低电平用0表示,则称为___逻辑; 4. 把JK触发器改成T触发器的方法是_____________。 5.组合逻辑电路是指电路的输出仅由当前的_____________决定。 6.5个地址输入端译码器,其译码输出信号最多应有_____________个。 7.输入信号的同时跳变引起输出端产生尖峰脉冲的现象叫做_____________。8.一片ROM有10根地址线,8根数据输出线,ROM共有________个存储单元。9.N个触发器组成的计数器最多可以组成_____________进制的计数器。 8.基本RS触发器的约束条件是_____________。 三.电路分析题(36分) 1.图3-1(a)所示电路, 移位寄存器原来的数据是,数据从Di顺序输入到移位寄存器,试问: (1) 在图3-1(b)所示输入波形作用下,在T1到T2期间,输出端X、Y的波形? (2) 该电路的逻辑功能?(12分)

数字电子技术试卷和答案

数字电子技术试卷(1) 一.填空(16) 1.十进制数123的二进制数是 1111011 ;十六进制数是 7B 。 2.是8421BCD 码,其十进制为 861 。 3.逻辑代数的三种基本运算是 与 , 或 和 非 。 4.三态门的工作状态是 0 , 1 , 高阻 。 5.描述触发器逻辑功能的方法有 真值表,逻辑图,逻辑表达式,卡诺图,波形图 。 6.施密特触发器的主要应用是 波形的整形 。 7.设4位D/A 转换器的满度输出电压位30伏,则输入数字量为1010时的输出模拟电压为 。 8.实现A/D 转换的主要方法有 , , 。 二.判断题(10) 1.BCD 码即8421码 ( 错 ) 2.八位二进制数可以表示256种不同状态。 ( 对 ) 3.TTL 与非门与CMOS 与非门的逻辑功能不一样。 ( ) 4.多个三态门的输出端相连于一总线上,使用时须只让一个三态门传送信号,其他门处于高阻状态。 (对 ) 5.计数器可作分频器。 ( 对 ) 三.化简逻辑函数(14) 1.用公式法化简- - +++=A D DCE BD B A Y ,化为最简与或表达式。 解;D B A Y +=- 2.用卡诺图化简∑∑= m d D C B A Y ),,,,()+,,,, (84210107653),,,(,化为最简与或表达式。 四.电路如图1所示,要求写出输出函数表达式,并说出其逻辑功能。(15) 解;C B A Y ⊕⊕=, C B A AB C )(1++=,全加器,Y 为和,1C 为进位。 五.触发器电路如图2(a ),(b )所示,⑴写出触发器的次态方程; ⑵对应给定波形画出Q 端波形(设初态Q =0)(15)

(完整版)数电试题及答案

通信 071~5 班 20 08 ~20 09 学年 第 二 学期 《数字电子技术基 础》 课试卷 试卷类型: A 卷 一、 单项选择题(每小题2分,共24分) 1、8421BCD 码01101001.01110001转换为十进制数是:( ) A :78.16 B :24.25 C :69.71 D :54.56 2、最简与或式的标准是:( ) A :表达式中乘积项最多,且每个乘积项的变量个数最多 B :表达式中乘积项最少,且每个乘积项的变量个数最多 C :表达式中乘积项最少,且每个乘积项的变量个数最少 D :表达式中乘积项最多,且每个乘积项的变量个数最多 3、用逻辑函数卡诺图化简中,四个相邻项可合并为一项,它能:( ) A :消去1个表现形式不同的变量,保留相同变量 B :消去2个表现形式不同的变量,保留相同变量 C :消去3个表现形式不同的变量,保留相同变量 表1 D :消去4个表现形式不同的变量,保留相同变量 4、已知真值表如表1所示,则其逻辑表达式为:( ) A :A ⊕B ⊕C B :AB + BC C :AB + BC D :ABC (A+B+C ) 5、函数F(A ,B ,C)=AB+BC+AC 的最小项表达式为:( ) A :F(A,B,C)=∑m (0,2,4) B :F(A,B,C)=∑m (3,5,6,7) C :F(A,B,C)=∑m (0,2,3,4) D :F(A,B,C)=∑m (2,4,6,7) 6、欲将一个移位寄存器中的二进制数乘以(32)10需要( )个移位脉冲。 A :32 B : 10 C :5 D : 6 7、已知74LS138译码器的输入三个使能端(E 1=1,E 2A =E 2B =0)时,地址码A 2A 1A 0=011,则输出Y 7 ~Y 0是:( ) A :11111101 B :10111111 C :11110111 D :11111111 8、要实现n 1n Q Q =+,JK 触发器的J 、K 取值应是:( ) A :J=0,K=0 B :J=0,K=1 C :J=1,K=0 D :J=1,K=1

数电试题及答案

通信071?5 班 20 08?20 09 学年第二学期 《数字电子技术基础》 课试卷试卷 类型:A ■卷 单项选择题(每小题2分,共24 分) 1、 8421BCD 码01101001.01110001转换为十进制数是: A : 78.16 B : 24.25 C : 2、 最简与或式的标准是: (c ) A:表达式中乘积项最多,且每个乘积项的变量个数最多 变量个数最多 C:表达式中乘积项最少,且每个乘积项的变量个数最少 变量个数最多 3、 用逻辑函数卡诺图化简中,四个相邻项可合并为一项 A :消去1个表现形式不同的变量,保留相同变量 B:消去2个表现形式不同的变量,保留相同变量 C :消去3个表现形式不同的变量,保留相同 D:消去4个表现形式不同的变量,保留相同变量 4、 已知真值表如表 1所示,则其逻辑表达式为: A: A ? B ? C B: AB + BC C: AB + BC D: ABC (A+B+C ) 5、 函数 F(A , A: F(A,B,C)= B: F(A,B,C)= C: F(A,B,C)= D: F(A,B,C)= B ,C)=AB+BC+AC 的最小项表达式为: E m E m E m E m (0, (3, (0, (2, 2, 5, 2, 4, 4) 6, 3, 6, 7) 4) 7) 6、 欲将一个移位寄存器中的二进制数乘以( A: 32 B : 10 7、 已知74LS138译码器的输入三个使能端( E 1=1, 是:(C ) A :::: (c 69.71 ,它能: 变量 32) 10需要 n 1 n = Q ,JK 触发器的J 、K 取值应是: B: J=0, K=1 (B ) B :集电极开路门 D : 54.56 B :表达式中乘积项最少,且每个乘积项的 D:表达式中乘积项最多,且每个乘积项的 (B ) (B ) ( C : _ E 2A =E 2B = 0 ) )个移位脉冲。 D : 6 _ _ A 2A 1A O =011,则输岀 丫厂?丫0 时,地址码 8、 要实现Q =Q A: J=0, K=0 9、 能够实现线与功能的是: A: TTL 与非门 10、 个四位串行数据,输入四位移位寄存器,时钟脉冲频率为 输岀。 A : 8ms B : 4ms 11、 表2所列真值表的逻辑功能所表示的逻辑器件是: A B C D (D ) C: J=1, K=0 D : J=1, K=1 C :三态逻辑门 1kHz ,经过 D : CMOS 逻辑门 B )可转换为4位并行数据 译码器 选择器 优先 编码器 比 较器 输入 I 7 I 6 I 5 I 4 I 3 I 2 I 1 12、 A: B: C: D: 图1所示为2个4位二进制数相加的串 11000 11001 10111 10101 接全力X 器逻辑电路图X 运算后 的 0 0 0 0 0 0 0 1 0 0 0 0 0 X 1 0 0 图 31 0 0 (A )

数电课后习题答案

思考题与习题思考题与习题 第一章 【1-1】(1)(1101)2= (13)10(2)(10111)2=(23)10 (3)(110011)2=(51)10 (4)(11.011)2=(3.375)10 【1-2】(1)(35)10=(100011)2 (2)(168)10 =(10101000)2 (3)(19.85)10=(10011.11011)2 (4)(199)10=(11000111)2 【1-3】(1)(1011011682)()55()AD ==(2)(1110011011682)1()715()CD == (3) (11000111011682 )36()1435()D == (4)(1010101111682)157()527()== 【1-4】答:数字逻辑变量能取“1”,“0”值。它们不代表数量关系,而是代表两种状态,高低电平. 【1-5】答:数字逻辑系统中有“与”,“或”,“非”三种基本运算,“与”指只有决定事件发生的所有的条件都成立,结果才会发生,只要其中有一个条件不成立,结果都不会发生. “与“指只要所有的条件中有一个条件成立,结果就会发生,除非所有的条件都不成立,结果才不会发生. ”非“指条件成立,结果不成立。条件不成立,结果反而成立。 【1-6】答:逻辑函数:指用与,或,非,等运算符号表示函数中各个变量之间逻辑关系的代数式子。 将由真值表写出逻辑函数表达式的方法: 1.在真值表中挑选出所有使函数值为1的变量的取值组合。 2.将每一个选出的变量取值组合对应写成一个由各变量相与的乘积项,在此过程中,如果某变量取值为1,该变量以原变量的形式出现在乘积项中,如果某变量取值为0,则该变量以反变量的形式出现在乘积项中。 3.将所有写出的乘积项相或,即可得到该函数的表达式。 【1-7】答:在n 输入量的逻辑函数中,若m 为包含n 个因式的乘积项,而且这n 个输入变量均以原变量或反变量的形式在m 中出现且仅出现一次,这m 称为该n 变量的一个最小项。 只由最小项组成的表达式称为最小项表达式。 【1-8】将n 个变量的全部最小项各用一个小方块表示,并使具有逻辑相邻性的最小项在几何位置上也相邻地排列起来,所得到的图形称为n 变量的卡诺图。

数电期末试卷及答案(共4套)

XX大学信息院《数字电子技术基础》 期终考试试题(110分钟)(第一套) 一、填空题:(每空1分,共15分) 1.逻辑函数Y AB C =+的两种标准形式分别为()、 ()。 2.将2004个“1”异或起来得到的结果是()。 3.半导体存储器的结构主要包含三个部分,分别是()、()、()。 4.8位D/A转换器当输入数字量10000000为5v。若只有最低位为高电平,则输出电压为()v;当输入为10001000,则输出电压为()v。 5.就逐次逼近型和双积分型两种A/D转换器而言,()的抗干扰能力强,()的转换速度快。 6.由555定时器构成的三种电路中,()和()是脉冲的整形电路。7.与PAL相比,GAL器件有可编程的输出结构,它是通过对()进行编程设定其()的工作模式来实现的,而且由于采用了()的工艺结构,可以重复编程,使它的通用性很好,使用更为方便灵活。 二、根据要求作题:(共15分) 1.将逻辑函数P=AB+AC写成“与或非”表达式,并用“集电极开路与非门”来实现。 2.图1、2中电路均由CMOS门电路构成,写出P、Q 的表达式,并画出对应A、B、C的P、Q波形。 三、分析图3所示电路:(10分) 1)试写出8选1数据选择器的输出函数式; 2)画出A2、A1、A0从000~111连续变化时,Y的波形图; 3)说明电路的逻辑功能。

四、设计“一位十进制数”的四舍五入电路(采用8421BCD码)。要求只设定一个输出,并画出用最少“与非门”实现的逻辑电路图。(15分) 五、已知电路及CP、A的波形如图4(a) (b)所示,设触发器的初态均为“0”,试画出输出端B和C的波形。(8分) B C 六、用T触发器和异或门构成的某种电路如图5(a)所示,在示波器上观察到波形如图5(b)所示。试问该电路是如何连接的?请在原图上画出正确的连接图,并标明T的取值。 (6分) 七、图6所示是16*4位ROM和同步十六进制加法计数器74LS161组成的脉冲分频电路。ROM 中的数据见表1所示。试画出在CP信号连续作用下的D3、D2、D1、D0输出的电压波形,并说明它们和CP信号频率之比。(16分) 表1:

数字电子技术练习题及答案

数字电子技术练习题及答案 一、填空题 1、(238)10=( )2 =( EE )16。(110110.01)2=( 36.4 )16=( 54.25 )10。 2、德?摩根定理表示为 B A +=( B A ? ) , B A ?=( B A + )。 3、数字信号只有( 两 )种取值,分别表示为( 0 )和( 1 )。 4、异或门电路的表达式是( B A B A B A +=⊕ );同或门的表达式是( B A AB B A ?+=⊙ ) 。 5、组成逻辑函数的基本单元是( 最小项 )。 6、与最小项C AB 相邻的最小项有( C B A )、( C B A ? ) 和 ( ABC ) 。 7、基本逻辑门有( 与门 )、( 或门 )和( 非门 )三种。复合门有( 与非门 )、( 或非门 )、( 与或非门 )和( 异或门 )等。 8、 9、 10、最简与或式的定义是乘积项的( 个数最少 ),每个乘积项中相乘的( 变量个数也最少)的与或表达式。 11、在正逻辑的约定下,“1”表示( 高电平 ),“0”表示( 低电平 )。在负逻辑的约定下,“1”表示( 低电平 ),“0”表示( 高电平 )。 12、一般TTL 门电路输出端( 不能 )直接相连,实现线与。(填写“能”或“不能”) 13、三态门的三种可能的输出状态是( 高电平 )、( 低电平 )和( 高阻态 )。 14、实现基本和常用逻辑运算的(电子电路),称为逻辑门电路,简称门电路。 15、在TTL 三态门、OC 门、与非门、异或门和或非门电路中,能实现“线与”逻辑功能的门为(OC 门),能实现总线连接方式的的门为(三态门)。 16、T TL 与非门的多余输入端不能接( 低 )电平。 17、 18、真值表是将输入逻辑变量的( 所有可能取值 )与相应的( 输出变量函数值 )排列在一起而组成的表格。 19、组合逻辑电路是指任何时刻电路的稳定输出,仅仅只决定于(该时刻各个输入变量的取值)。 20、用文字、符号或者数码表示特定对象的过程叫做( 编码 )。把代码的特定含义翻译出来的过程叫( 译码 )。 在几个信号同时输入时,只对优先级别最高的进行编码叫做( 优先编码 )。 21、两个1位二进制数相加,叫做(半加器)。两个同位的加数和来自低位的进位三者相加,叫做(全加器)。 22、比较两个多位二进制数大小是否相等的逻辑电路,称为(数值比较器)。 23、半导体数码显示器的内部接法有两种形式:共(阳)极接法和共(阴)极接法。对于共阳接法的发光二极管数码显示器,应采用(低)电平驱动的七段显示译码器。 24、能够将( 1个 )输入数据,根据需要传送到( m 个 )输出端的任意一个输出端的电路,叫做数据分配器。 25、在多路传输过程中,能够根据需要将( 其中任意一路挑选出来 )的电路,叫做数据选择器,也称为多路选择器或多路开关。 26、触发器又称为双稳态电路,因为它具有( 两个 )稳定的状态。 27、根据逻辑功能不同,触发器可分为( RS 触发器 )、( D 触发器 )、( JK 触发器 )、( T 触发器 )和( T ’触发器 )等。根据逻辑结构不同,触发器可分为( 基本触发器 )、( 同步触发器 )和( 边沿触发器 )等。 28、JK 触发器在JK =00时,具有( 保持 )功能,JK =11时;具有( 翻转 )功能;JK =01时,具有( 置0 )功能;JK =10时,具有( 置1 )功能。 29、JK 触发器具有( 保持 )、( 置0 )、( 置1 )和( 翻转 )的逻辑功能。D 触发器具有( 置0 )和( 置1 )的逻辑功能。RS 触发器具有( 保持 )、( 置0 )和( 置1 )的逻辑功能。 T 触发器具有( 保持 )和( 翻转 )的逻辑功能。T ’触发器具有( 翻转 )的逻辑功能。 30、边沿触发器具有共同的动作特点,即触发器的次态仅取决于CP 信号( 上升沿或下降沿 )到来时刻输入的逻辑状态,而在这时刻之前或之后,输入信号的变化对触发器输出的状态没有影响。 31、基本RS 触发器的特性方程是( n n Q R S Q +=+1 );其约束条件是( 0=RS )。JK 触发器的特性方程是( n n n Q K Q J Q +=+1 );D 触发器的特性方程是( D Q n =+1 );T 触发器的特性方程是( n n n Q T Q T Q +=+1 ); T ’触发器的特性方程是( n n Q Q =+1 )。

数字电子技术基础试题及答案

D C B A D C A B ++《数字电子技术》试卷 姓名:__ _______ 班级:__________ 考号:___________ 成绩:____________ 1.?有一数码10010011,作为自然二进制数时,它相当于十进制数(147),作为8421BCD 码时,它相当于十进制数(93 )。 2.三态门电路的输出有高电平、低电平和(高阻)3种状态。 3.TTL 与非门多余的输入端应接(高电平或悬空)。 4.TTL 集成JK 触发器正常工作时,其d R 和d S 端应接(高)电平。 5. 已知某函数?? ? ??+??? ??++=D C AB D C A B F ,该函数的反函数F = ( )。 6. 如果对键盘上108个符号进行二进制编码,则至少要( 7)位二进制数码。 7. 典型的TTL 与非门电路使用的电路为电源电压为(5 )V ,其输出高电平为(3.6)V ,输出低电平为(0.35)V , CMOS 电路的电源电压为( 3--18) V 。 8.74LS138是3线—8线译码器,译码为输出低电平有效,若输入为A 2A 1A 0=110时,输出 01234567Y Y Y Y Y Y Y Y 应为( )。 9.将一个包含有32768个基本存储单元的存储电路设计16位为一个字节的ROM 。该ROM 有( 11)根地址线,有(16)根数据读出线。 10. 两片中规模集成电路10进制计数器串联后,最大计数容量为( 100)位。 11. =(AB )。 12. 13 二、分) 1.?函数 A .F(A,B,C)=∑m (0,2,4) B. (A,B,C)=∑m (3,5,6,7) C .F(A,B,C)=∑m (0,2,3,4) D. F(A,B,C)=∑m (2,4,6,7) 2.8线—3线优先编码器的输入为I 0—I 7 ,当优先级别最高的I 7有效时,其输出012Y Y Y ??的值是( C )。 A .111 B. 010 C. 000 D. 101 3.十六路数据选择器的地址输入(选择控制)端有( C )个。 A .16 B.2 C.4 D.8

数字电子技术练习题及答案

数字电子技术练习题及 答案 TPMK standardization office【 TPMK5AB- TPMK08- TPMK2C- TPMK18】

数 字电子技术练习题及答案 一、填空题 1、(238)10=( 11101110 )2 =( EE )16。(110110.01)2=( 36.4 )16=( 54.25 )10。 2、德?摩根定理表示为 B A +=( B A ? ) , B A ?=( B A + )。 3、数字信号只有( 两 )种取值,分别表示为( 0 )和( 1 )。 4、异或门电路的表达式是( B A B A B A +=⊕ );同或门的表达式是( B A AB B A ?+=⊙ ) 。 5、组成逻辑函数的基本单元是( 最小项 )。 6、与最小项C AB 相邻的最小项有( C B A )、( C B A ? ) 和 ( ABC ) 。 7、基本逻辑门有( 与门 )、( 或门 )和( 非门 )三种。复合门有( 与非门 )、( 或非门 )、( 与或非门 )和( 异或门 )等。 8、 9、 10、最简与或式的定义是乘积项的( 个数最少 ),每个乘积项中相乘的( 变量个数也最少)的与或表达式。 11、在正逻辑的约定下,“1”表示( 高电平 ),“0”表示( 低电平 )。在负逻辑的约定下,“1”表示( 低电平 ),“0”表示( 高电平 )。 12、一般TTL 门电路输出端( 不能 )直接相连,实现线与。(填写“能”或“不能”) 13、三态门的三种可能的输出状态是( 高电平 )、( 低电平 )和( 高阻态 )。 14、实现基本和常用逻辑运算的(电子电路),称为逻辑门电路,简称门电路。 15、在TTL 三态门、OC 门、与非门、异或门和或非门电路中,能实现“线与”逻辑功能的门为(OC 门),能实现总线连接方式的的门为(三态门)。 16、T TL 与非门的多余输入端不能接( 低 )电平。 17、 18、真值表是将输入逻辑变量的( 所有可能取值 )与相应的( 输出变量函数值 )排列在一起而组成的表格。 19、组合逻辑电路是指任何时刻电路的稳定输出,仅仅只决定于(该时刻各个输入变量的取值)。 20、用文字、符号或者数码表示特定对象的过程叫做( 编码 )。把代码的特定含义翻译出来的过程叫( 译码 )。 在几个信号同时输入时,只对优先级别最高的进行编码叫做( 优先编码 )。 21、两个1位二进制数相加,叫做(半加器)。两个同位的加数和来自低位的进位三者相加,叫做(全加器)。 22、比较两个多位二进制数大小是否相等的逻辑电路,称为(数值比较器)。 23、半导体数码显示器的内部接法有两种形式:共(阳)极接法和共(阴)极接法。对于共阳接法的发光二极管数码显示器,应采用(低)电平驱动的七段显示译码器。 24、能够将( 1个 )输入数据,根据需要传送到( m 个 )输出端的任意一个输出端的电路,叫做数据分配器。 25、在多路传输过程中,能够根据需要将( 其中任意一路挑选出来 )的电路,叫做数据选择器,也称为多路选择器或多路开关。

数字电路试卷-答案

C. 000 D. 1 0 1 A. A+B B. A+C C. (A+B ) (A+C ) D. B+C 标准答案及评分标准 适用专业(班级): 是否可携带(填写计算器、词典等):计算器 学科部主任: 一 ?选择题(每小题2分,共20分) 1?一位8421BCD 码译码器的数据输入线与译码输出线的组合是 【C 】 A. 4:6 B.1:10 C.4:10 D.2:4 2 ?若输入变量 A 、B 全为1时,输出F=1,则其输入与输出的关系是 【B 】 A.异或 B. 同或 C. 或非 D. 与或 3?在下列逻辑电路中,不是组合逻辑电路的是 【D 】 A.译码器 B. 加法器 C. 编码器 D. 寄存器 6 ?同步计数器和异步计数器比较,同步计数器的最显著优点是 A 工作速度高 B.触发器利用率高 C.电路简单 D.不受时钟CP 控制 7?—位8421BCD 码译码器的数据输入线与译码输出线的组合是 A. 4:6 B.1:10 C.4:10 D.2:4 8 ?组合逻辑电路通常由【 】组合而成。 A.触发器 B.门电路 C.计数器 D.锁存器 A. 1 11 B. 010 10.逻辑表达式 A+BC= 课程名称:数字电路 课程归属:理工学科部 开卷、闭卷:闭卷 出卷人: 4 . 一个8选一的数据选择器,其地址输入 (选择控制输入)端的个数是 A. 4 B. 2 C. 3 5?最小项ABCD 的逻辑相邻最小项是 D. 1 6 【A 】 A. ABCD B . ABCD C. ABCD D. ABCD 9.8线一3线优先编码器的输入为 I0 —17,当优先级别最高的 I7有效时,其输出 的值是 【C 】

数字电路试卷及答案

一.选择题 1十进制数3.625的二进制数和8421BCD 码分别为(D ) A 、11.11和11.001 B 、11.101和11.101 C 、11.01和11.011000100101 D 、11.101和0011.011000100101 2、逻辑函数F1、F2、F3的卡诺图如图所示,他们之间的逻辑关系是(B ) A 、F3=F 1·F2 B 、F3=F1+F2 C 、F2=F1·F3 D 、F2=F1+F3 00 01 11 10 0 1 1 1 1 1 F1 F2 F3 3 、和TTL 电路相比,CMOS 电路最突出的有点在于(C ) A 、可靠性高 B 、抗干扰能力强 C 、功耗低 D 、速度快 4、用1K ×4的DRAM 设计4K ×8位的存储器的系统需要的芯片数和地址线的根数是(C ) A 、16片 10根 B 、8片 10根 C 、8片 12根 D 、16片 12根 5、在图2中用555定时器组成的施密特触发电路中,它的回差电压等于(A ) A 、2V B 、3V C 、 4V D 、5V 图2 图3 6、为将D 触发器转换为T 触发器,图3所示电路的虚线框内应是(D ) A 、或非门 B 、与非门 C 、异或门 D 、同或门 7、在下列逻辑部件中,不属于组合逻辑部件的是(A ) A .寄存器 B 、编码器 C 、全加器 D 、译码器 8、某10位D/A 转换器,当输入为D=010*******B 时,输出电压为1.6V 。当输入D=1000010000B 时,输出电压为(B ) A 、3.15V B 、3.30V C 、3.60V D 、都不是 二.填空题 1、逻辑函数F=A ·(B+C )·1的反函数F =_____0+?+C B A ___________ 2、四选一数据选择器,AB 为地址信号,D 0=D 3=1,D 1=C ,D 2=c ,当AB=10时,输出F=__C__ 3、将模拟信号转化为数字信号,需要采用A/D 转换器。实现A/D 转换一般要经过采样、保持、量化和编码等4个过程。 00 01 11 10 0 1 1 1 1 00 01 11 10 0 1 1 1 1 1 1

习题数电参考答案(终)

第一章数字逻辑概论 1.1 数字电路与数制信号 1.1.1 试以表1.1.1所列的数字集成电路的分类为依据,指出下列IC器件属于何种集成度器件:(1)微处理器;(2)计数器;(3)加法器;(4)逻辑门;(5)4兆位存储器。 解:依照表1.1.1所示的分类,所列的五种器件:(1)、(5)属于大规模;(2)、(3)属于中规模;(4)属于小规模。 1.1.2一数字信号波形如图题1.1.2所示,试问该波形所代表的二进制数是什么? 解:图题1.1.2所示的数字信号波形的左边为最高位(MSB),右边为最低位(LSB),低电平表示0,高电平表示1。该波形所代表的二进制数为010110100。 1.1.3 试绘出下列二进制数的数字波形,设逻辑1的电压为5V,逻辑0的电压为0V。(1)001100110011(2)0111010 (3)1111011101 解:用低电平表示0,高电平表示1,左边为最高位,右边为最低位,题中所给的3个二进制数字的波形分别如图题1.1.3(a)、(b)、(c)所示,其中低电平为0V,高电平为5V。 1.1.4一周期性数字波形如图1.1.4所示,试计算:(1)周期;(2)频率;(3)占空比。

解:因为图题1.1.4所示为周期性数字波,所以两个相邻的上升沿之间持续的时间为周期,T=10ms。 频率为周期的倒数,f=1/T=1/0.01s=100Hz。 占空比为高电平脉冲宽度与周期的百分比,q=1ms/10ms×100%=10%。 1.2 数制 1.2.1 一数字波形如图1.2.1所示,时钟频率为4kHz,试确定:(1)它所表示的二进制数;(2)串行方式传送8位数据所需要的时间;(3)以8位并行方式传送的数据时需要的时间。 解:该波形所代表的二进制数为00101100。 时钟周期T=1/f=1/4kHz=0.25ms。 串行方式传送数据时,每个时钟周期传送1位数据,因此,传送8位数据所需要的时间t=0.25ms×8=2ms。 8位并行方式传送数据时,每个时钟周期可以将8位数据同时并行传送,因此,所需的时间t=0.25ms。 1.2.2将下列十进制数转换为二进制数、进制数和十六进制数(要求转换误差不大于2-4):(1)43 (2)127 (3)254.25 (4)2.718 解:此题的解答可分为三部分,即十-二、十-八和十-十六转换。解题过程及结果如下:1.十-二转换 (1)将十进制整数43转换为二进制数,采用"短除法",其过程如下: 低位 高位

相关文档
相关文档 最新文档