文档库 最新最全的文档下载
当前位置:文档库 › 八路彩灯控制程序

八路彩灯控制程序

八路彩灯控制程序
八路彩灯控制程序

FPGA课程设计——8路彩灯控制程序

2013年12月12日

8路彩灯控制程序

一、设计任务

1.设计目的:

(1)了解及掌握时序电路及组合电路的基本结构常用数字电路

(2)通过ModelSim软件编写组合电路与时序电路混合的程序进行仿真和调试。

(3)74LS194 移位寄存器和74LS161 计数器的使用。

2.设计内容:

编写一个8路彩灯控制程序,要求彩灯有以下3种演示花型。

(1)8路彩灯同时亮灭;

(2)从左至右逐个亮(每次只有1路亮);

(3)8路彩灯每次4路亮,4路灯灭,且灯灭相同,交替亮灭;

在演示过程中,只有当一种花型演示完毕才能转向其他演示花型。

二、设计方案论证

74LS161 是四位二进制同步加数器,74LS194 是一个 4 位双向移位寄存器,它具有左移,右移,保持,清零等逻辑功能,八路彩灯控制器通过利用双向移位寄存器 74LS194 的串行输入, 个并行输 8 出端控制彩灯;双向移位寄存器 74LS194 的控制端 S1=0,S0=1 时,进行右移; S1=1,S0=0 时, 进行左移; 十六位计数器 74LS161 可以从 0000 到 1111 进行计数. 利用十六位计数器 74LS161 的功能实现自动循环.

(1)8路彩灯同时亮灭:

11111111

00000000

11111111

(2)从左至右逐个亮(每次只有1路亮);

11111111

01111111

10111111

11011111

11101111

11110111

11111011

11111101

11111110

(3)8路彩灯每次4路亮,4路灯灭,且灯灭相同,交替亮灭 11111111

00001111

11110000

三、结构及其工作原理

1.结构框图:

2.电路的原理图:

3.电路工作原理:

74LS161 是四位二进制同步加数器, 74LS194 是一个 4 位双向移位寄存器,它具有左移,右移,保持,清零等逻辑功能, 八路彩灯控制器通过利用双向移位寄存器 74LS194 的串行输入, 个并行输 8 出端控制彩灯;双向移位寄存器 74LS194 的控制端 S1=0,S0=1 时,进行右移; S1=1,S0=0 时, 进行左移; 十六位计数器 74LS161 可以从 0000 到 1111 进行计数. 利用十六位计数器 74LS161 的功能实现自动循环.

四、主要元件

1.数字电路实验箱 1 台

2.74LS161 芯片 1 片

3.74LS194 芯片 2 片

4.导线若干

五、仿真过程及结果

新建工程及文件,分别添加设计程序及测试程序,进行编译及纠错,编译通过后运行程序仿真进行调试得出结果。

设计模块:

module caideng(clk,ledout,reset);

input reset,clk;

output[7:0] ledout;

integer i;

reg[7:0] ledout;

reg[2:0] count;

reg[4:0] count2;

reg clkflag;

reg[1:0] in;

always @(posedge clk) begin

if(!reset)

count<=0;

else if(count<=3)

begin

clkflag<=0;

count<=count+1;

end

else if(count<7) begin

clkflag<=1;

count<=count+1;

end

else if(count==7) begin

clkflag<=1;

count<=0;

end

else

begin

clkflag<=1;

count<=count+1;

end

end

always @(posedge clk)

begin

if(!reset) count2<=0;

else if(count2<=7)

begin

in<=2'b00;

count2<=count2+1;

end

else if(count2<=15)

begin

in<=2'b01;

count2<=count2+1;

end

else if(count2<23)

begin

in<=2'b10;

count2<=count2+1;

end

else if(count2==23)

begin

in<=2'b10;

count2<=0;

end

else

begin

in<=2'bZZ;

count2<=0;

end

end

always@(clkflag or count or in or reset)

if(!reset)

ledout<=8'h00;

else

begin

case(in)

2'b00: if(clkflag) ledout=8'hFF; else ledout=8'h00;

2'b01:

case(count)

'h0:ledout=8'h80;

'h1:ledout=8'h40;

'h2:ledout=8'h20;

'h3:ledout=8'h10;

'h4:ledout=8'h08;

'h5:ledout=8'h04;

'h6:ledout=8'h02;

'h7:ledout=8'h01;

default:ledout=8'h00;

endcase

2'b10: if(clkflag) ledout=8'hAA; else ledout=8'h55;

default: ledout=8'h00;

endcase

end

endmodule

六、电路安装与调试

测试模块:

`timescale 1ns/1ns

module testbench;

reg clk,reset;

wire [7:0] ledout;

caideng led_inst(clk,ledout,reset);

initial

begin

reset=1'b1;

#10 reset=1'b0;

#40 reset=1'b1;

end

initial

begin

clk=1'b0;

forever

#10 clk=~clk;

end

Endmodule

七、课程设计体会

通过整个电路设计与制作的整个过程, 掌握了组装与调试方法. 熟悉了中,小规模集成电路的使用. 通过理论与实践的结合,进一步深入的体会到一种学习的方法,特别是对与电子设计方面.首先要明确总体的设计方案与方法;其次是对各个部分进行设计与改进;最后将各个部分整合在一起进行比较,观察. 在流水灯实验设计当中遇到的首要问题有三个:一是电路的总体设计问题; 二是电路的焊接问题;三是电路的调试问题.基于所学数字电路知识的局限性, 在选择元器件方面有所困难,开始无从下手应该确定使用何种元件.通过查找资料等过程首先确定了元件,从而确定了总电路图.由于初次进行焊接工作,所以在电路焊接的时候造成了许多虚焊,导致电路无法正常运行.加重了电路调试的作业量. 总的来说,流水灯的课程设计有利于培养我们对电子设计的兴趣,是一次很好的理论与实际的结合,希望能有更多机会进行这些课程设计.

八、参考文献

[1]《数字逻辑与数字统计》(第三版),王永军,李景华,电子工业出版社.

[2]《电子技术实验与课程设计》(第二版),毕满清,机械工业出版社.

[3]《数字逻辑电路学习与实训指导》,梅开乡,电子工业出版社.

EDA课程设计:八路彩灯控制器

EDA课程设计 设计题目:基于VHDL的8路彩灯控制器设计 一、课程设计的目的 1.熟悉QuartusⅡ软件的使用方法,使用VHDL 文本输入设计法进行任务设计。 2.增强自己实际动手能力,独立解决问题的能力。 3.通过课程设计对所学的知识进行更新及巩固. 二、课程设计的基本要求 本次课程设计是设计一个8路彩灯控制器,能够控制8路彩灯按照两种节拍,三种花型循环变化。设计完成后,通过仿真验证与设计要求进行对比,检验设计是否正确。 三、课程设计的内容 编写硬件描述语言VHDL程序,设计一个两种节拍、三种花型循环变化的8路彩灯控制器,两种节拍分别为和。三种花型分别是: (1)8路彩灯分成两半,从左至右顺次渐渐点亮,全亮后则全灭。 (2)从中间到两边对称地渐渐点亮,全亮后仍由中间向两边逐次熄灭。 (3)8路彩灯从左至右按次序依次点亮,全亮后逆次序依次熄灭。 四、实验环境 PC机一台;软件QuartusⅡ 五、课程设计具体步骤及仿真结果 1、系统总体设计框架结构 分频模块:把时钟脉冲二分频,得到另一个时钟脉冲,让这两种时钟脉冲来交替控制花型的速度。 二选一模块:选择两种频率中的一个控制彩灯的花型。 8路彩灯的三种花型控制模块:整个系统的枢纽,显示彩灯亮的情况。

2、系统硬件单元电路设计 1.分频模块设计 实验程序:library ieee; use fenpin2 is port( clk:in std_logic; clkk:out std_logic); end fenpin2; architecture behav of fenpin2 is begin process(clk) variable clkk1:std_logic:='0'; begin if clk'event and clk='1' then clkk1:= not clkk1; end if; clkk<=clkk1; end process; end behav; RTL电路图: 波形图:

简易彩灯控制器电路

第一章.系统的方案的设计 1.1课程设计的要求 1. 要求电路能够控制8个以上的彩灯。 2. 要求彩灯组成四种以上的花形,每种花形连续循环两次,各种花形轮流显示。 1.2 课程设计的目的 1.阅读相关科技文献,本次课程设计需要对电子线路的设计与分析有一定的了解,所以对学生查阅一些科技文献能力提出了要求。 2.学习使用protel软件,本设计中需要画电路逻辑原理图,接线图,器件的引脚与功能图与功能表,真值表等的绘制,需要使用绘图软件。 3.要求会总节设计报告,终结报告时我们的一项基本能力,对所用原件及原理图进行解释,便于查找错误,也便于他人的阅读和了解。培养了我们的综合分析,解决问题的能力。 4.学会了解一些器件的参数及功能,对各种芯片的功能有所里了解并能够简单的应用。 5.培养电子设计的兴趣,有助于我们进一步了解数电课程。 1.3设计思路 设计电路系统可以由四部分组成,分别是:1.脉冲发生器,由555定时器,电阻及电容构成;2.分频电路,由四位二进制计数器74LVC161组成,为D触发器提供时钟信号;3.状态机电路,由双D触发器组成;4)移位显示器,由双向移位寄存器74HC194和发光二极管组成,实现花型显示。 1.4 设计框图 图1-4

把四花型彩光灯设计分为几个独立的功能模块进行设计,每个模块完成特定的功能,再它们有机的组织起来构成一个系统完成彩灯控制器的设计。系统可由四个模块组成。它们分别为:时钟振荡电路,555定时器构成多谐振荡器;分频电路,由四位二进制计数器 74LS161组成,为D 触发器提供时钟;状态机电路,由双 D 触发器组成;移位显示电路,由双向移位寄存器 74194 和发光二极管组成,实现花型显示。 电路系统由四部分组成: 1)时钟振荡电路由555定时器,电阻及电容构成时钟振荡电路,为系统提供时钟; 2)分频电路由四位二进制计数器74LVC161组成,为D触发器提供时钟信号,为状态机提供时钟; 3)状态机电路由双D触发器74LS74组成; 4)移位显示器由双向移位寄存器74HC194组成。 1.5 工作原理分析 由555定时器构成的时钟振荡电路产生固定频率的脉冲,一方面作用于由74161组成的分频电路,一方面作用于由74F194构成的移位输出电路,为他们提供时钟信号。由于74161是16分频计数器,故每十六个脉冲74LS161进位一次,致使触发器U1A翻转一次,而触发器U2A的3脚连接的是触发器U1A的5脚,实现了U1A的16分频和U2A的32分频。所以平均U1A翻转两次而U2A翻转一次。集成移位寄存器74194由个RS触发器及他们的输入控制电路组成,其中S1和S0是两个控制输入端。双D触发器的输出端改变S0,S1的值,实现左右移动控制。可组成U1A左移,U2A右移;U1A右移,U2A右移;U1A左移,U2A左移;U1A右移,U2A左移四种花型。每十六个脉冲每种花型恰好循环两次,而此时D触发器翻转,转换为下一种花型。 1.6 设计方案 用移位寄存器来控制彩灯的左右移动,用触发器和计数器组成的周期性触发电路,而此电路中的CP脉冲用NE555定时器通过外接电路实现。此种电路的优点就是CP脉冲的频率稳定,彩灯花样变换的效果好,而且实现了自动控制,于预期控制。

八路彩灯课程设计八路彩灯控制器的设计

课程设计报告 课程: 数字电路 题目:八路彩灯控制器的设计 院系: 物理与电子信息学院 专业: 2011级电子信息科学与技术 学号: 20111302031 姓名: 李俊 完成日期: 2008 年 6 月 22 日

摘要:本次八路彩灯电路的制作主要采用74LS194芯片接成扭环形结构的移位寄存器来实现,通过555定时电路组成多谢振荡电路。整个电路主要由移位寄存器、控制电路、脉冲发生器构成8个彩灯的循环控制,并且可以组成多种花型。关键词:控制、循环、555定时电路 一、设计内容与设计要求 1.设计目的 ⑴学会将一个实际情况抽象为逻辑电路的逻辑状态的方法。 ⑵掌握计数、译码、显示综合电路的设计与调试方法。 ⑶掌握实际输出电路不同要求的实现方法。 2.设计任务 八路彩示系统,该系统实现以下功能: ⑴八路彩灯从左向右逐次渐亮,间隔为1秒。 ⑵八路彩灯从右向左逐次渐灭,间隔为1秒。 ⑶八路彩灯同时亮,时间为0.5秒,然后同时变暗,时间为0.5秒,反复4次。 3.设计要求 ⑴确定总体设计方案画出总方框图,确定各单元电路的功能,进行单元电路的设计,画出逻辑图。 ⑵选择元器件型号。 ⑶画出总逻辑图和装配图,并在实验板上组装电路。 ⑷进行电路调试,使其达到设计要求。 ⑸写出总结报告。 4.参考器件 555定时器、计数器、双向移位寄存器、显示译码管、数码显示管、发光二极管及一些门电路等。 二、总体设计思路 根据课程设计课题要求,要实现本系统,需要设计时钟脉冲产生电路,循环控制电路和彩灯花样输出电路。时钟脉冲产生电路由74LS163分频实现,循环控制电路由74LS163和74LS10实现,彩灯花样输出电路由74LS194和相关逻辑电路实现。 三、总体设计原理 1.基本原理 由设计要求出发可知彩灯的三个节拍可以用移位寄存器74LS194实现,通过控制S0和

八路彩灯控制器的设计上课讲义

八路彩灯控制器的设 计

论文提要 彩灯,又名花灯,是我国普遍流行的传统的民间的综合性的工艺品。彩灯艺术也就是灯的综合性的装饰艺术。彩灯的产生,是从人类运用火、发明灯、制造灯具等发展而来的。随着我国科学技术的发展,彩灯艺术更是花样翻新,奇招频出,传统的制灯工艺和现代科学技术紧密结合,将电子、建筑、机械、遥控、声学、光导纤维等新技术、新工艺用于彩灯的设计制作,把形、色、光、声、动相结合,思想性、知识性、趣味性、艺术性相统一的典范。 现今生活中,许多户外商业广告、公益广告、节日彩灯等大多采用循环灯控制形式,它们通过巧妙构思与创作,可以做到广告、彩灯等作品色彩鲜艳,富有创意,变化形式丰富,起着宣传和美化环境的作用,营造文明亮丽氛围。本设计的彩灯能成为现实的一种,但技术上日后将会有更大的改善和提高。 本设计以555定时器,模十六计数器74LS161,74LS194双向移位寄存器,发光二极管等器件实现,具有电路简洁、工作可靠,控制形式多样,使用安全方便的特点。

八路彩灯显示电路的设计 摘要:本次八路彩灯电路的制作主要采用74LS194芯片接成扭环形结构的移位寄存器来实现,通过555定时电路组成多谢振荡电路。整个电路主要由移位寄存器、控制电路、脉冲发生器构成8个彩灯的循环控制,并且可以组成多种花型。 关键词:控制、循环、555定时电路 一、设计内容与设计要求 1.设计目的 ⑴学会将一个实际情况抽象为逻辑电路的逻辑状态的方法。 ⑵掌握计数、译码、显示综合电路的设计与调试方法。 ⑶掌握实际输出电路不同要求的实现方法。 2.设计任务 八路彩示系统,该系统实现以下功能: (1)从左向右逐次亮,间隔为0.5秒。 (2)从右向左逐次灭,间隔为0.5秒。 (3)左四同时亮,然后右四同时亮;左四同时灭,然后右四同时灭,间隔1秒,重复四次(4)八个同时全亮,时间为1秒,然后同时全灭,时间为1秒,重复4次。 3.设计要求 ⑴确定总体设计方案画出总方框图,确定各单元电路的功能,进行单元电路的设计,画出逻辑图。 ⑵选择元器件型号。 ⑶画出总逻辑图和装配图,并在面包板上组装电路。 ⑷进行电路调试,使其达到设计要求。 ⑸写出总结报告。 4.参考器件 555定时器、计数器、双向移位寄存器、显示译码管、数码显示管、发光二极管及一些门电路等。 二、总体设计思路 根据课程设计课题要求,要实现本系统,需要设计时钟脉冲产生电路,循环控制电路

LED流水灯《八路彩灯控制电路》

《八路彩灯控制电路》课程设计报告 《八路彩灯控制电路》课程设计报告 专业:电子信息工程 班级:2010级2班 姓名:X X 学号:XXXXXXXX 同组成员:XX、XX 指导教师:XXX 2011年12月28日

八路彩灯控制电路 目录 一、课程设计目的 .................................... - 2 - 二、课程设计描述和要求............................... - 2 - (一) 彩灯控制器设计要求........................... - 2 - (二) 课程设计的总体要求........................... - 2 - 三、课程设计内容 .................................... - 3 - (一)原理分析................................... - 3 - (二) 器件选择..................................... - 5 - (三)电路连线................................... - 6 - 1.时钟信号电路 ................................... - 6 - 2. 花型控制信号电路 .............................. - 6 - 3.花型演示电路 ................................... - 7 - 4.总体电路图..................................... - 9 - 5.电路测试....................................... - 9 - 四、分析与总结 ..................................... - 11 -

可编程彩灯控制器原理及设计

可编程彩灯控制器原理 及设计 Company Document number:WTUT-WT88Y-W8BBGB-BWYTT-19998

目录 一、课题设计任务及要求 .3 二、设计目的 3 三、优选设计方案 4 四、整体设计思想及原理框图 5 五、各模块设计与分析 6 1、脉冲发生电路 7 2、控制电路和译码电路 10 3、存储电路 12 4、数码管显示电路 .14 六、元器件清单 15 七、安装及调试中出现的问题和解决方法 15 八、设计感想 17 附录 一、实验电路图 20 二、实验电路连接图 .21 三、参考文献 21 一、课题设计任务及要求 课题名称:可编程彩灯控制器 设计任务及要求: 1、设计脉冲产生电路、图形控制电路和存储电路;

2、用8×8LED点阵作为显示电路,显示内容的动面感要强。 3、能用按键切换不同的显示组合,至少有3个按键切换; 4、每种组合至少有3种变化,每种组合内图形能连续循环; 5、要有数码管显示当前是第几种组合(或是第几个按键); 6、图形显示间隔(显示频率)至少有3种可选。 控制器可有2种控制方式: (1)规则变化:变化节拍有秒和秒,交替出现,每种节拍可有多种花样,各执行1或2个周期后轮换;彩灯变化方向有单向移动和双向移动、跳跃移动等。 (2)随机变化。变化花样相同,但节拍和花样的轮换随机出现。 7、完成电路全部设计后,通过实验箱验证设计课题的正确性。 二、设计目的 本课程设计主要是为了实现可编程彩灯控制的功能,且通过本次电子课程设计,了解电子产品设计的一般过程,掌握电子线路设计的基础方法和一般过程,能灵活运用已学过或者类似的集成块构成电路实现上述功能,还能灵活掌握555电路的应用方法。能用仿真软件对电子线路进行仿真设计,还能用Portel等软件绘制PCB图,掌握了电子电路调试的方法,且能独立解决设计与调试过程中出现的一般问题,并进一步掌握EEPROM的编程方法和应用。 三、优选设计方案 方案A: 根据设计要求,本系统由控制电路,编码发生电路和输出驱动电路等组成。其彩灯控制器的总体设计思想如下:

八路彩灯控制器 课程设计

目录 第一章总体设计 (5) 1.1设计目的 (5) 1.2设计任务与要求 (5) 1.3基本工作原理 (5) 1.4设计方案 (5) 第二章单片机简介 (6) 2.1单片机结构 (6) 2.2单片机的封装形式、引脚定义及功能 (7) 2.3单片机的工作原理 (8) 2.4 CPU的工作原理 (8) 2.5存储器结构 (9) 2.6 CPU时序及时钟电路 (10) 2.7复位操作 (12) 第三章硬件设计 (14) 3.1整体硬件接结构 (14) 3.2功能模块电路 (14) 3.3系统硬件原理电路图 (16) 第四章软件设计 (17) 4.1软件总体结构设计 (17) 4.2各功能模块设计 (18) 总结与心得 (25) 参考文献: (26)

第一章总体设计 1.1设计目的 1)了解单片机的基本原理及相关的简单应用。 2)掌握用单片机设计系统的一般步骤。 3)了解LED数码管的基本知识和驱动方法。 4)掌握单片机系统各个组成部分的作用以及分布位置。 5)学会运用单片机的硬件资源。 1.2设计任务与要求 8个彩灯的控制电路的任务为:用AT89S51单片机设计设计一个8个彩灯控制电路。要求:完成以下花形变化: 1) 从左到右依次点亮,8个灯全亮;从右到左依次熄灭,8个灯全灭。时间节拍为1秒。 2)从两边向中间依次点亮,8个灯全亮;从中间向两边依次熄灭,8个灯全灭。时间节拍为1秒。 3)循环往复,用LED管模拟彩灯。 4)用汇编语言编程,用proteus仿真。 1.3基本工作原理 此次使设计一个能控制八路彩灯的控制器,其中彩灯用发光二极管模拟。由P1.6和P1.7口控制电路启动与停止,根据彩灯的亮灭要求,利用数据指针查表,将查到的内容送给P2口进行显示,然后调用1s延时程。若查到的内容为跳出代码就重新开始循环。 1.4设计方案 软件方面:通过汇编语言编程实现不同要求的状态,由延时程序实现1秒的延时时间。硬件方面:彩灯启动与停止由P1.6和P1.7口进行控制,彩灯的显示状态由AT89C51的P2口输出显示。芯片的控制由AT89C51的外接晶振和复位电路进行控制。

循环彩灯控制器课程设计8路

数字电路课程设计报告课程名称:循环彩灯控制器 设计题目:循环彩灯控制器 院(部):机械与电子工程学院 专业: 学生姓名: 学号: 班级: 日期: 指导教师:

课程设计任务书 课程设计题目循环彩灯控制器 姓名学号班级 院部机械与电子工 程学院 专业 组别组长组员 指导教师 课程设计目的 1.进一步掌握数字电路课程所学的理论知识。 2.熟悉几种常用集成数字芯片的功能和应用,并掌握其工作原理,进一步学会使用其进行电路设计。 3.了解数字系统设计的基本思想和方法,学会科学分析和解决问题。 4.培养认真严谨的工作作风和实事求是的工作态度。 5.数点课程实验是大学中为我们提供的唯一一次动手实践的机会,增强动手实践的能力。 课程 设计 环境 用proteus 仿真软件画出总体电路图、word编写课程设计报告

课程设计任务和要求设计要求: 1. 8路彩灯能演示三种花型(花型自拟); 2. 彩灯用发光二极管LED模拟; 3.选做:实现快慢两种节拍的变换。 设计任务: 1.设计电路实现题目要求; 2.电路在功能相当的情况下设计越简单越好; 3. 注意布线,要直角连接,选最短路径,不要相互交叉; 4. 注意用电安全,所加电压不能太高,以免烧坏芯片和面包板。 课程设计工作进度计划 序号起止日期工作内容 1 2012年6月7日~2012年 6月11日选择设计题目并阅读相关文献、资料,学习使用proteus 2 2012年6月12日~2012 年6月13日 根据设计任务和要求,做出大纲和目录 3 2012年6月13日~2012 年6月16日 根据目录做出设计内容 4 2012年6月17日~2012 年6月18日 根据设计内容用proteus画图 5 2012年6月19日整理课程设计的格式与内容 6 2012年6月20日打印并装订 教研室审核意见: 教研室主任签字:年月日 教学院(系)审核意见: 主任签字:年月日

8路彩灯控制电路设计

《8路彩灯控制电路设 计》 祥设计报告 专业:_______________ 班级:_______________ 姓名: ________________ 学号:_______________ 指导教师:____________

2014年6月25日

1 ?课程设计的目的 2. 课程设计题目描述和要求 3. 电路设计 4. 设计过程中遇到的问题及解决办法 5. 结论与体会 附表参考书目

1 ?课程设计目的 1.熟悉仿真软件Multisim ,使用软件经行电路仿真; 2.第握数字电路课程学习的常见芯片的功能,熟悉其工作原理: 3.了解数字系统设计的基本思想和方法,学会科学的分析问题、解决问题; 4.增强学生动手能力,增加学生理论和实践结合的机会。 2. 课程设计题目描述和要求 设计题目 八路彩灯控制电路设计,即设计并制作8路彩灯控制电路,用以控制8个 LED按照不同的花色闪烁 设计要求 1. 接通电源,电路开始工作,LED灯闪烁: 2. LED灯按照事先设计的方式工作,要求闪烁的模式不能少于三种模式 3. 电路设计 3. 1闪烁花型设计 花型I :8路彩灯分成两半从右到左依次点亮,全亮后再从右到左依次熄灭。花型1【:8路彩灯由中间到两讪对称的依次点亮,余亮后仍由中间到两劝对称熄灭。 花型1【1: 8路彩灯分成两半从左到右依次点亮,全亮后再从左到右依次熄灭。 花型IV: 8路彩灯由两边到中间对称的依次点亮,全亮后仍由两边到中间对称熄灭。 花型状态编码表如表3. 1. 1所示。 每种花型连续循环两次,四种花型轮流交替,为了更好的显示结果本文用指示灯模拟彩灯。 表3. 1. 1 8路彩灯输出状态编码表

彩灯控制器电路图大全

彩灯控制器电路图大全 收录时间:2010-04-09 17:08:58 来源:未知作者:pic366 【大中小】点击:639 电路工作原理 该彩灯控制器电路由电源电路、彩灯驱动控制电路和音频功率放大电路组成,如图 1-150所示。 电源电路由电阻器Rl-R3、电容器Cl-C3、稳压二极管VS和整流二极管VD2组成。 彩灯驱动控制电路由集成电路IC、电阻器R4、电容器C4-C6、控制按钮S、二极管VDl、晶闸管VTl-VL4和彩灯HLl-H帖组成。 音频功率放大电路由晶体管VI、V2、可变电阻器RP、电容器C7和扬声器BL组成。 交流220V电压经Rl和Cl限流降压、VS稳压、VD2整流及C2、R3、C3滤波后,为IC 提供4.7V直流工作电压。 IC通电工作后,其13-16脚(L1-L4端)输出变化的触发控制信号,通过控制VT1-VT4的工作状态来控制HLl-H饵的闪光效果。IC的4、5脚输出的音频信号经Vl、V2放大后,驱动BL发出音乐声。 S为灯光模式选择按钮,每按动一次S,即可改变一种灯光模式。 元器件选择 Rl选用lW金属膜电阻器;R2和R3选用1/2W金属膜电阻器或碳膜电阻器;R4选用l/4W 碳膜电阻器。 RP选用合成膜可变电阻器。 Cl选用耐压值为630V的CBB电容器;C2-C7均选用耐压值为l6V的铝电解电容器。 VDl选用lN5406型硅整流二极管;VD2选用1N4007型硅整流二极管。

VS选用lW、4.7V的硅稳压二极管。 VTl-VW均选用600V、lA的晶闸管,例如MCRlO0-8等型号。若每路彩灯的功率大于100W,则应选用电流容量大一些的晶闸管。 Vl选用S8050型硅NPN晶体管;V2选用S8550型硅PNP晶体管。 BL选用0.5W、8Ω的电动式扬声器。 S选用小型动合按钮。本例介绍的彩灯控制器,采用SH-818型专用彩灯控制集成电路(内储25首乐曲),能驱动4路彩灯,使之随音乐的节拍闪烁发光,并可变换多种灯光花样。 电路工作原理 该彩灯控制器电路由电源电路、彩灯驱动控制电路和音频功率放大电路组成,如图 1-149所示。 电源电路由电阻器Rl、R2、电容器Cl、C2、稳压二极管VS和整流二极管VD2组成。 彩灯驱动控制电路由集成电路IC(SH-818)、电阻器R3、二极管VDl、电容器C3、C4、晶闸管VTl-VW、控制按钮Sl、S2和彩灯HLl-HL4组成。 音频功率放大电路由晶体管Vl-V3、电阻器R4-R6、电容器C5、C6和扬声器BL组成。 交流220V电压经Rl和Cl限流降压、VS稳压、VD2整流及C2滤波后,为lC和音频功率放大电路提供4.5-4.7V直流工作电压。 IC通电工作后,其7脚(音频信号输出端)输出的音频信号经音频功率放大电路放大后,驱动BL奏出乐曲声。IC的10-13脚(Ll-l4端)输出与音频信号同步变化的触发控制信号,通过控制VTl-VT4的工作状态来控制HLl-Hl4的闪光效果。 S1为灯光模式选择按钮,按动一下S1,可变换一种灯光模式;连续按动S1,可使7种 灯光模式循环变换。 S2为音量控制按钮,连续按动S2,可使BL的音量按"高→中→低→无→高……"循环变换。 改变C3和C4的容量,可以改变音质和音色。 元器件选择

EDA课程设计八路彩灯控制器样本

EDA课程设计 设计题目: 基于VHDL的8路彩灯控制器设计 一、课程设计的目的 1.熟悉QuartusⅡ软件的使用方法,使用VHDL 文本输入设计法进行任务设计。 2.增强自己实际动手能力,独立解决问题的能力。 3.经过课程设计对所学的知识进行更新及巩固. 二、课程设计的基本要求 本次课程设计是设计一个8路彩灯控制器, 能够控制8路彩灯按照两种节拍, 三种花型循环变化。设计完成后, 经过仿真验证与设计要求进行对比, 检验设计是否正确。 三、课程设计的内容 编写硬件描述语言VHDL程序, 设计一个两种节拍、三种花型循环变化的8路彩灯控制器, 两种节拍分别为0.25s和0.5s。三种花型分别是: ( 1) 8路彩灯分成两半, 从左至右顺次渐渐点亮, 全亮后则全灭。 (2)从中间到两边对称地渐渐点亮, 全亮后仍由中间向两边逐次熄灭。 (3)8路彩灯从左至右按次序依次点亮, 全亮后逆次序依次熄灭。 四、实验环境

PC机一台; 软件QuartusⅡ6.0 五、课程设计具体步骤及仿真结果 1、系统总体设计框架结构 分频模块: 把时钟脉冲二分频, 得到另一个时钟脉冲, 让这两种时钟脉冲来交替控制花型的速度。 二选一模块: 选择两种频率中的一个控制彩灯的花型。 8路彩灯的三种花型控制模块: 整个系统的枢纽, 显示彩灯亮的情况。 2、系统硬件单元电路设计 1.分频模块设计 实验程序: library ieee; use ieee.std_logic_1164.all; entity fenpin2 is port( clk:in std_logic; clkk:out std_logic); end fenpin2; architecture behav of fenpin2 is begin

彩灯控制器实验报告

电工电子课程设计 实验报告 题目名称:彩灯控制器 指导教师: 姓名: 学号: 专业班级: 日期:

前言 电子技术课程设计是配合电子技术基础课程与实验教学的一个非常重要的教学环节。它是电气信息类专业学生的重要基础实践课,也是工科专业的必修课,能巩固电子技术的理论知识,提高电子电路的设计水平,加强综合分析问题和解决问题的能力,进一步培养学生的实验技能和动手能力,启发学生的创新意识及创新思维。完成本次课程设计,对进行毕业设计及毕业后从事电子技术方面的工作都有很大的帮助。 近年来,由于集成电路的迅速发展,使得数字逻辑电路的设计发生了根本性的变化。在设计中更多的使用中规模集成电路,不仅可以减少电路组件的数目,使电路简捷,而且能提高电路的可靠性,降低成本。因此用集成电路来实现更多更复杂的器件功能则成为必然。 现代生活中,彩灯越来越成为人们的装饰品,它不仅能美化环境,渲染气氛,还可用于娱乐场所和电子玩具中,现以该课题为例进行分析与设计可编程的彩灯控制的电路很多,构成方式和采用的集成片种类、数目更是五花八门,而且有专门的可编程循环彩灯控制电路。绝大多数的彩灯控制电路都是用数字电路来实现的,例如,用中规模集成电路实现的彩灯控制器主要用计数器,译码器,分配器和移位寄存器等集成。本次设计的可编程彩灯控制电路就是用寄存器、计数器和译码器等来实现,其特点是用发光二极管显示,实现可预置编程循环功能。

目录 前言 1 一、课题设计任务及要求 .3 二、设计目的 3 三、优选设计方案 4 四、整体设计思想及原理框图 5 五、各模块设计与分析 6 1、脉冲发生电路 7 2、控制电路和译码电路 10 3、存储电路 12 4、数码管显示电路 .14 六、元器件清单 15 七、安装及调试中出现的问题和解决方法 15 八、设计感想 17 附录 一、实验电路图 20 二、实验电路连接图 .21 三、参考文献 21

八路彩灯课程设计

课程设计任务书 专业姓名学号 开题日期:2014年 3 月12 日完成日期:2012 年12 月26 日 题目八路彩灯 一、设计的目的 (1)根据原理图分析各单元电路的功能; (2)熟悉电路中所用到的各集成块的管脚及其功能; (3)进行电路的装接、调试,直到电路能达到规定的设计要求; (4)写出完整、详细的课程设计报告。 二、设计的内容及要求 设计任务 设计一个八路彩灯逻辑控制电路。 设计要求及器件 (1)共有八个彩灯,分别实现三个过程,构成一个循环共25秒。 (2)第一个过程要求八个灯从左到右依次点亮,各一秒,共八秒。 (3)第二个过程要求八个灯从右到左依次熄灭,各一秒,共八秒。 (4)最后八个灯同时闪烁八次,共8秒。 三、指导教师评语 四、成绩 指导教师(签章) 年月日

目录 第1章概述 --------------------------------------------------------------------------------------------------------------------------- 1 1.1.设计任务及要求 ---------------------------------------------------------------------------------------------------------- 2 1.1.1设计任务 ----------------------------------------------------------------------------------------------------------- 2 1.1.2设计要求及器件-------------------------------------------------------------------------------------------------- 2 1.1.3设计任务及目标:----------------------------------------------------------------------------------------------- 2 第2章.总体设计思路模块--------------------------------------------------------------------------------------------------------- 2 2.1系统逻辑框图 -------------------------------------------------------------------------------------------------------------- 2 2.2设计的方法 ----------------------------------------------------------------------------------------------------------------- 3 第3章.各单元电路图及功能说明模块---------------------------------------------------------------------------------------- 4 3.1器件选择 -------------------------------------------------------------------------------------------------------------------- 4 3.1.1:计数器S163芯片的介绍 ------------------------------------------------------------------------------------ 4 3.1.2:计数器LS190芯片的介绍----------------------------------------------------------------------------------- 5 3.1.3双向寄存器74LS194 -------------------------------------------------------------------------------------------- 6 3.2各功能电路实现原理及电路分析模块------------------------------------------------------------------------------- 7 3.2.1 彩灯演示电路 ---------------------------------------------------------------------------------------------------- 7 3.2.2彩灯控制电路----------------------------------------------------------------------------------------------------- 7 第4章.电路总体图设计图--------------------------------------------------------------------------------------------------------- 8 第5章.心得体会: ------------------------------------------------------------------------------------------------------------------ 9 第6章.参考文献: ------------------------------------------------------------------------------------------------------------------ 9

八路彩灯控制系统

论文提要 彩灯,又名花灯,是我国普遍流行的传统的民间的综合性的工艺品。彩灯艺术也就是灯的综合性的装饰艺术。彩灯的产生,是从人类运用火、发明灯、制造灯具等发展而来的。随着我国科学技术的发展,彩灯艺术更是花样翻新,奇招频出,传统的制灯工艺和现代科学技术紧密结合,将电子、建筑、机械、遥控、声学、光导纤维等新技术、新工艺用于彩灯的设计制作,把形、色、光、声、动相结合,思想性、知识性、趣味性、艺术性相统一的典范。 现今生活中,许多户外商业广告、公益广告、节日彩灯等大多采用循环灯控制形式,它们通过巧妙构思与创作,可以做到广告、彩灯等作品色彩鲜艳,富有创意,变化形式丰富,起着宣传和美化环境的作用,营造文明亮丽氛围。本设计的彩灯能成为现实的一种,但技术上日后将会有更大的改善和提高。 本设计以555定时器,模十六计数器74LS161,74LS194双向移位寄存器,发光二极管等器件实现,具有电路简洁、工作可靠,控制形式多样,使用安全方便的特点。 八路彩灯控制器的设计 摘要:本次八路彩灯电路的制作主要采用74LS194芯片接成扭环形结构的移位寄存器来实现,通过555定时电路组成多谢振荡电路。整个电路主要由移位寄存器、控制电路、脉冲发生器构成8个彩灯的循环控制,并且可以组成多种花型。 关键词:控制、循环、555定时电路 一、设计内容与设计要求 1.设计目的 ⑴学会将一个实际情况抽象为逻辑电路的逻辑状态的方法。 ⑵掌握计数、译码、显示综合电路的设计与调试方法。 ⑶掌握实际输出电路不同要求的实现方法。 2.设计任务 八路彩示系统,该系统实现以下功能: ⑴八路彩灯从左向右逐次渐亮,间隔为1秒。 ⑵八路彩灯从右向左逐次渐灭,间隔为1秒。 ⑶八路彩灯同时亮,时间为0.5秒,然后同时变暗,时间为0.5秒,反复4次。 3.设计要求 ⑴确定总体设计方案画出总方框图,确定各单元电路的功能,进行单元电路的设计,画出逻辑图。 ⑵选择元器件型号。 ⑶画出总逻辑图和装配图,并在实验板上组装电路。 ⑷进行电路调试,使其达到设计要求。 ⑸写出总结报告。

彩灯控制电路

目录 第一章设计目的- 2 - 第二章功能设计要求- 3 - 第三章设计方案及基本组成和原理- 3 - 第一节彩灯电路的组成框图 ........................... - 4 - 第二节各单元电路的工作原理 ......................... - 5 -一编码发生器.................................... - 5 - 二振荡器........................................ - 6 - 三控制器........................................ - 7 - 四移位寄存器.................................. - 10 - 五时钟信号电路................................. - 12 -第三节总电路原理 .................................. - 13 - 第四章元器件清单- 13 - 第五章设计总结与体会- 14 - 第六章参考文献- 16 - 附录(一).简易彩灯控制电路器总电路图- 17 - 摘要: 绝大多数的彩灯控制电路都是用数字电路来实现的,例如,用中规模集成电路实现的彩灯控制电路主要用计数器,译码器,分配器和移位寄存器等集成。本次设计的简易彩灯控制器是由时钟信号电路,74LS194双向移位寄存器,D触发器等组成。每个模块又由不同的集成电路组成。它是利用几种芯片来控制不同数量而有规律的彩灯的亮灭。主要由555振荡电路产生时钟脉冲信号,同时通过74HC74、双D触发器组成的电路来控制彩灯亮灭的顺序,从而实现彩灯四种花型自动切换。在设计中,我把所学的数字电路和模拟电路的知识进行有机的结合,并采用了软件进行电路的绘画。 [关键词]:时钟信号电路 74LS194双向移位寄存器 D触发器 SN74LS138D。 第一章 设计目的 一熟悉工程实践中电子电路的设计方法和规范,达到综合应用电子技术的目的。二学习文件检索和查找数据手册的能力。

EDA课程设计之八路彩灯控制系统

~ 1 ~ 目 录 1前言................................................................................................................... 2 2 总体方案设计 (3) 2.1方案比较.......................................................................................................... 3 2.2方案论述.......................................................................................................... 4 2.3方案选择.......................................................................................................... 4 3单元模块设计 . (5) 3.1时钟信号模块.................................................................................................. 5 3.2节拍快慢控制模块.......................................................................................... 6 3.3彩灯控花型控制模块...................................................................................... 7 4软件设计 .. (9) 4.1 Quartus Ⅱ简介............................................................................................. 9 4.2 Qartus Ⅱ设计开发流程............................................................................. 10 5系统调试 .......................................................................................................... 11 6系统功能及指标参数 ....................................................................................... 12 7设计总结与体会 ............................................................................................... 13 8参考文献 .......................................................................................................... 14 附录1总设计图及仿真图 ................................................................................... 15 附录2 Verilog HDL 源程序 . (16)

彩灯控制电路

电子技术课程设计报告 学院: 专业班级: 学生姓名: 指导教师: 完成时间: 成绩:

彩灯控制电路设计报告 一.设计要求 (1).利用所学的电子技术知识搭建彩灯控制电路,实现16个彩灯依次循环闪烁。 (2).在搭建电路之前要先用Multisim画好电路原理图,因此要对Multisim软件熟悉,了解他的用途,能够独立完成电路的设计,学会分析电路故障,对元器件认识透彻,清楚各个芯片的结构 及用途。 (3).电路仿真后,能够根据自己设计的原理图搭建电路,并且调试成功。 二.设计的作用、目的 (1).通过电子技术的课程设计使学生能够对电子技术及应用有进一步的理解,同时也巩固了所学的模电与数电知识,使所学的电子技术应用于实际,贴近生活,走向社会,增加学习的动力。(2)掌握电子电路安装和调试的方法及其故障排除方法,学会用面包板对电路进行仿真。 (3).培养学生手动实践,搭建电路的能力,将理论与实际相结合的主要体现,使学生能够在学习理论知识的同时,对电子元器件及电子技术这门技术有更深入的认识。 (4).通过查阅手册和文献资料,培养独立分析问题和解决问题的能力。培养自己的创新能力和创新思维。

三.设计的具体实现 1.系统概述 此电路由74LS14、74LS161、74LS138 组成。通过这些元件 和计数器的真值表,利用相关的电路实现彩灯循环闪烁功能。 当通电后每来一个脉冲,计数器74LS161 加1,输出1。3-8 译码器所接的发光二极管依此发光。则电路组成及工作原 理:彩灯控制器电原理图如下所示,其中74LS14 为电路提 供非门。

2.单元电路设计(或仿真)与分析(1).计数器:74LS161

八路彩灯循环显示控制电路课程设计

目录 1前言 (1) 1.1序言 (1) 1.2目前彩灯的应用情况 (1) 1.3主要工作概述 (2) 2 总体方案设计 (3) 2.1方案比较 (3) 2.2方案论证 (4) 2.3方案选择 (4) 3.单元模块电路设计 (5) 3.1时钟信号发生器 (5) 3.2 序列信号发生器 (7) 3.3 移位输出显示电路 (13) 4软件设计 (16) 4.1Proteus仿真软件 (16) 4.2 Altium designer软件 (16) 4.3软件的设计结构 (18) 5系统调试 (19) 5.1脉冲信号发生器的调试 (19) 5.2序列信号发生器和以为输出显示电路调试 (20) 5.3整体电路的调试 (20) 5.4系统实现的功能 (21) 6设计总结与收获 (22) 7 参考文献 (24) 附录:彩灯显示控制电路原理图 (25)

说明书 1前言 1.1序言 由于集成电路的迅速发展,使得数字逻辑电路的设计发生了根本性的变化。在设计中更多的使用中,规模集成电路,不仅可以减少电路组件的数目,使电路简捷,而且能提高电路的可靠性,降低成本。因此用集成电路来实现更多更复杂的器件功能则成为必然。随着社会市场经济的不断繁荣和发展,各种装饰彩灯、广告彩灯越来越多地出现在城市中。在大型晚会的现场,彩灯更是成为不可缺少的一道景观。小型的彩灯多为采用霓虹灯电路则不能胜任。在彩灯的应用中,装饰灯、广告灯、布景灯的变化多种多样,但就其工作模式,可分为三种主要类型:管做成各种各样和多种色彩的灯管,或是以日光灯、白炽灯作为光源,另配大型广告语、宣传画来达到效果。这些灯的控制设备多为数字电路。而在现代生活中,大型楼宇的轮廓装饰或大型晚会的灯光布景,由于其变化多、功率大,数字长明灯、流水灯及变幻灯。长明灯的特点是只要灯投入工作,负载即长期接通,一般在彩灯中用以照明或衬托底色,没有频繁的动态切换过程,因此可用开关直接控制,不需经过复杂的编程。流水灯则包括字形变化、色彩变化、位置变化等,其主要特点是在整个工作过程中周期性地花样变化。 本文所要设计的彩灯是用八个发光二极管代替的,能通过外部开关的操作,来实现彩灯亮点的左移、右移、全亮、全灭的效果。因此其会在越来越多的场合中使用,这使本设计具有很大的借鉴意义。这种控制电路可靠性,灵活性高,使用范围广,特别适合中小城市的交通灯、霓虹灯等的应用。而且,它对其他类似系统的开发具有一定的借鉴意义。 1.2目前彩灯的应用情况 LED彩灯由于其丰富的灯光色彩,低廉的造价以及控制简单等特点而得到了广泛的应用,用彩灯来装饰街道和城市建筑物已经成为一种时尚。彩灯广泛应用于流水灯、跑马灯、鸳鸯戏水灯、流水灯、控制功能,并给出了具体的硬件电路和相应的程序。此课题设计具有很大现实意义,LED彩灯广泛应用于商业街广告灯,也可作为歌厅、酒吧照

相关文档