文档库 最新最全的文档下载
当前位置:文档库 › EDA复习重点

EDA复习重点

EDA复习重点
EDA复习重点

试用并行语句设计一个3-8译码器

用VHDL 语言设计八选一多路选择器

用VHDL 语言设计一进八出多路分配器

用VHDL 语言设计一个8进制的7段数码管显示的译码器

用VHDL 语言,分两层设计一位全加器(底层元件为半加器和或门,均用VHDL 编写,顶层分别用例化语句和原理图两种方式编写)

用进程语句编写D 触发器的VHDL 程序

试用IF 语句设计一个四位二进制计数器(要求有异步清零、异步复位、同步预制控制、同步预制数据输入和同步清零控制功能)

分别用IF 语句和CASE 语句设计一个四选一多路选择器

设计一个模为60带有同步使能控制,异步清零、置位,同步预置控制,带有8位预置数据输入端的计数器。

用CASE 语句和IF_THEN 语句两种表达方式写出四选一多路选择器的VHDL 程序。 设计一个带异步时钟有复位控制端和同步时钟使能控制端的10进制加法计数器。 设计一个8位锁存器

使用元件例化语句编写如下图所示的加法器的设计

F_ADD AIN BIN CIN CO SU D Q clk D Q clk D Q

clk

D Q

clk U 0U 1U 2U 3d 0d 1d 2d 3d 4dout din clk

1、从执行方式看VHDL的描述语句包括那些描述语句?。

2、目前流行的硬件描述语言有那些?。

3、MAX+PLUS2中各种文件的扩展名有哪些?

4、目前较流行的EDA设计软件有那些?;

5、实体部分的端口模式有那些?。

6、VHDL程序设计中常用的库有那些?

7、目前国际上较大的EDA器件制造公司有那些?。

8、VHDL中可以用于任何数据类型的关系操作符有那些?。

9、逻辑操作符有哪些?可以用于什么数据类型的运算。

10、VHDL常用的数据类型有?。

11、可以构成标识符的字符有?。

12、可编程器件(PLD)分为哪两类

13、标准逻辑位数据类型常用的数值有哪几种?

14、在VHDL语言中常见的的数据类型有那些?

15、完整的条件语句将产生什么电路,不完整的条件语句将产生什么电路。

16、信号和变量有什么区别?

17、VHDL作为工业标准,是由那个机构制定并公布的。

18、实体部分的端口模式有四个类型。

19、从执行方式看VHDL的基本描述语句包括哪两大基本描述语句?

20、VHDL文件存盘时,其主文件名应与实体名一致,扩展名应为什呢

21、硬件描述语言(HDL)的种类很多?

22、简述元件例化语句的语句格式及关联方法。

23、EDA技术的含义。

24、VHDL语言中的逻辑操作符有那些?

25、目前较流行的集成EDA开发环境(软件)有那些?

26、简述EDA技术的CPLD/FPGA的设计流程。

27、写出实体中的PORT语句结构并说明其作用。

28、简述EDA技术经历了那几个发展阶段。

29、写出元件例化语句语句格式,并说明其作用。

30、试比较图形输入法和文本输入法有何优缺点?

31、结构体的语言格式与作用。

32、写出PROCESS语句结构的一般表达格式?

33、EDA技术常用的输入方法有?

34、什么是实体和结构体,其功能是什么?,

35、MAX+pulsⅡ的编辑窗口有那几种,分别是什么?

36、MAX+pulsⅡ的原理图输入法、文本输入法、波形输入法生成的文件扩展名为?

37、VHDL的操作符有那几大类?每一类的操作符分别是什么?每一类操作符可以对那些数

据进行操作(运算)?

38、结构体常见的功能语句有那些?

39、子程序分为那两类,其结构为什么。

40、信号与变量的赋值有何区别?。

41、可编程器件分为哪些类?

42、VHDL中常见的库有那些?。

43、不完整的条件语句与完整的条件语句生成的电路有何区别

44、VHDL的标识符由什么构成。

45、VHDL中预定义数据类型有那些?。

46、CASE语句使用当中的注意事项。

47、目前国际上较大的PLD器件制造公司有那几家公司。

48、VHDL数据对象有什么

49、赋值语句分哪些类,分别写出一句赋值语句。

50、实现时序电路和逻辑组合电路分别用什么语句实现,分别写出他们的一般表式。

51、简述元件例化语句组成及语句格式。

52、写出实体的一般语句结构并说明其作用。

53、简述VHDL中逻辑运算符有哪些?。

54、简述元件例化语句组成及语句格式。

55、简述VHDL中顺序语句有哪些?

56、简述VHDL中并行语句有哪些?

57、试写出三种IF语句的语句结构。

58、简述VHDL库的类型及调用方法。

59、写出CASE语句的结构,简述使用有哪些要点。

60、写出VHDL中5种以上的算术运算符,并注明可用于那些操作数。

重庆大学期末EDA复习纲要(优.选)

1、题型 填空10*2’简答4*5’分析3*10’(程序分析,画出对应波形图;给出程序,画出电路)设计2*15’(要求条例分明,逻辑清晰) 2、考点 一、EDA设计流程 1、E DA设计流程:设计输入、综合、适配、时序仿真及功能仿真、编程下 载、硬件测试 2、设计输入:图形输入、HDL文本输入。图形输入:原理图输入、状态图 输入和波形图输入。 3、时序仿真:接近真实器件运行特性的仿真,仿真文件中包含了器件硬件特 性参数,仿真精度高。 4、功能仿真:直接对VHDL、原理图描述或其他描述形式的逻辑功能进行测 试,以了解其实现的功能是否满足原设计要求,仿真过程不涉及任何具体器件的硬件特性。设计耗时短,对硬件库、综合器等没有任何要求。一般先进行功能测试再进行时序仿真。 二、FPGA/CPLD 1、CPLD:乘积项原理FPGA:查找表原理 三、有限状态机设计 1、状态编码:状态位直接输出型编码、顺序编码、一位热键编码 2、状态位直接输出型编码:将状态编码直接输出作为控制信号,即output=state,要求对状态机个状态的编码做特殊的选择,以适应控制时序的要求。 3、顺序编码:000-→001-→010-→011-→100-→101-→110-→111,8位状态机只

需要三个状态机。 4、一位热键编码:用n个触发器实现具有n个状态的状态机,状态机中的每一位都由其中一个触发器的状态表示。 100000-→010000-→001000--→000100-→000010-→000001。 四、VHDL文字规则 1、整数、实数(必须带有小数点)、物理量文字(VHDL不接受此类文字),如:60s,100m 2、字符串:一维的字符数组。字符以单引号标示,字符串以双引号标示。文字字符串:双引号括起的一段文字。数字字符串,预定义的数据类型BIT的一位数组。B:二进制;O:八进制,每位位矢数组长度3;X:十六进制,每位位矢数组长度4。 3、标识符:必须以英文字母开头、必须是单一的下划线,且其前后必须是字母或数字;允许包含图形符号(回车、换行等),也允许包含空格。 4、操作符:逻辑操作符,关系操作符,算术操作符。 逻辑操作符:and,or,xor,xnor等等;关系操作符:=,<=,>=,<,>等等;算术运算符:加减乘除移位等等。 五、属性描述语句。 1、信号类属性:event,stable与之相反。对于目前的VHDL综合器,Event 只能用于if、when语句中。 2、数据区间属性:’range[(n)]以及’reverse_range[(n)],两者返回次序相反,前者与原序列相同,后者相反。返回值是一个区间。 Eg:signal range1: in std_logic_vector(7 downto 0)。

EDA复习资料要点全

.- 主要知识点 1、从执行方式看VHDL的描述语句包括那些描述语句? 用VHDL语言进行设计时,按描述语句的执行顺序进行分类,可将VHDL语句分为顺序执行语句(Sequential)和并行执行语句(Parallel)。 2、目前流行的硬件描述语言有那些? 常用的硬件描述语言有ABEL-HDL AHDL.VHDL和 Verilog-HDL.而VHDL和Verilog-HDL是当前最流行的并成为IEEE标准的硬件描述语言。 3、MAX+PLUS2中各种文件的扩展名有哪些? *.vhd *.sym *.gdf *.scf 4、基于MAX+PLUS2的设计流程 设计输入、编译处理、验证(包括功能仿真、时序仿真、和定时分析)和器件编程 5、目前较流行的EDA设计软件有那些? ALTERA公司: MAX+PLUS II QUARTUS II(全新的EDA软件,正在逐步替代 MAX+PLUS) LATTICE莱迪思公司: isp EXPERT SYSTEM isp DesignExpert SYSTEM XILINX西林公司: FOUNDATION ISE(全新的EDA软件,正在逐步替代FOUNDATION)6、可编程逻辑器件的分类?按照变成工艺分哪些类? SPLD 简单可编程逻辑器件 CPLD 复杂可编程逻辑器件 FPGA 现场可编程门阵列 ISP 在系统(线)可编程逻辑器件 按编程工艺分为:熔丝开关(一次可编程,要求大电流)可编程低阻电路元件(多次编程,要求中电压) EPROM型(紫外线擦除电可编程逻辑器件) E PROM型(电可擦写编程器件) 基于SRAM的编程元件 7、VHDL程序设计中常用的库有那些?哪些库是显式(默认打 开的)的,哪些是隐式的?P159 VHDL程序设计的常用库:IEEE库、STD库、WORK库、VITAL 库、用户定义库。 显示库:IEEE库用户定义库 VITAL库 隐式库:、STD库、WORK库 8、程序包由那两部分组成?分别有什么作用? P161 程序包由两部分组成:程序包首和程序包体,程序包首为程序包定义接口,声明包中的类型、元件、函数和子程序。程序包体规定程序包的实际功能,存放说明中的函数和子程序。 9、常用的预定义程序包有哪些?如何调用?P163 常用的预定义的程序包:STD_LOGIC_1164程序包、 STD_LOGIC_ARITH程序包、STD_LOGIC_UNSIGNED和 STD_LOGIC_SIGNED程序包、STANDARD和TEXTIO程序包。 10、目前国际上较大的EDA器件制造公司有那些? ALTERA公司、LATTICE莱迪思公司、XILINX西林公司11、VHDL常用的预定义数据类型有哪几种,分别在哪些程序包 中?如何调用? 答:布尔(BOOLEAN)数据类型,位(BIT)数据类型,位矢量(BIT_VECTOR)数据类型,字符(CHARACTER)数据类型。 12、数据类型的转换有哪几种方法?P114 函数转换法、类型标记转换法和常数转换法。 13、可以构成标识符的字符有? 有效的字符:(1)包括26个大小写英文字母,数字0~9以及下划线“_”。(2)任何标识符必须以英文字母开头。(3)必须是单一下划线“_”,且其前后都必须有英文字母或数字。(3)标识符中的英文字母不分大小写。(4)允许包含图形符号(如回车符、换行符等),也允许包含空格符。(5)VHDL的保留字不能用于作为标识符使用。 14、可编程器件(PLD)分为哪两类? 答:根据编程特性分为一次编程和重复编程两类 15、标准逻辑位数据类型常用的数值有哪几种? ‘U’--未初始化的,‘X’--强未知的,‘0’--强0,‘1’--强1,‘Z’--高阻态,‘W’--弱未知的,‘L’--弱0,‘H’--弱1,‘-’--忽略。 16、完整的条件语句将产生什么电路,不完整的条件语句将产 生什么电路? 完整的条件语句将产生组合电路,不完整的条件语句将产生时序电路 17、信号和变量有什么区别?P121 (1)信号赋值至少有δ延时,而变量赋值没有延时。 (2)信号除当前值外有许多相关的信息,而变量只有当前值。(3)进程对信号敏感而对变量不敏感。 (4)信号可以是多个进程的全局信号;而变量只在定义它们的顺序域可见(共享变量除外)。 (5)信号是硬件中连线的抽象描述,它们的功能是保存变化的数据和连接子元件,信号在元件的端口连接元件。变量在硬件中没有类似的对应关系,它们用于硬件特性的高层次建模所需要的计算中。 (6)信号赋值和变量赋值分别使用不同的赋值符号“<=”和“:=”,信号类型和变量类型可以完全一致,也允许两者之间相互赋值,但要保证两者的类型相同。 18、VHDL作为工业标准,是由那个机构制定并公布的。 IEEE 19、实体部分的端口模式有四个类型。 O U T单向输出端口 I N单向输入端口 I N O U T输入输出双向端口 BUFFER 反馈式双向端口

EDA复习题(终)(2)精编版

《电子设计自动化》复习题 一.选择题 1.大规模可编程器件主要有FPGA、CPLD两类,下列对CPLD结构与工作原理的描述中,正确的是_____C__。 A. CPLD即是现场可编程逻辑器件的英文简称; B. CPLD是基于查找表结构的可编程逻辑器件; C. 早期的CPLD是从GAL的结构扩展而来; D. 在Altera公司生产的器件中,FLEX10K 系列属CPLD结构; 2.综合是EDA设计流程的关键步骤,综合就是把抽象设计层次中的一种表示转化成另一种表示的过程;在下面对综合的描述中,___C______是错误的。 A.综合就是将电路的高级语言转化成低级的,可与FPGA / CPLD的基本结构相映射的 网表文件; B.综合可理解为,将软件描述与给定的硬件结构用电路网表文件表示的映射过程,并 且这种映射关系不是唯一的; C.综合是纯软件的转换过程,与器件硬件结构无关; D.为实现系统的速度、面积、性能的要求,需要对综合加以约束,称为综合约束。3.IP核在EDA技术和开发中具有十分重要的地位,IP分软IP、固IP、硬IP;下列所描述的IP核中,对于硬IP的正确描述为_____B_____。 A.提供用VHDL等硬件描述语言描述的功能块,但不涉及实现该功能块的具体电路; B.提供设计的最总产品----掩膜; C.以网表文件的形式提交用户,完成了综合的功能块; D.都不是。 4.下面对利用原理图输入设计方法进行数字电路系统设计,那一种说法是不正确的___B___。 A.原理图输入设计方法直观便捷,但不适合完成较大规模的电路系统设计; B.原理图输入设计方法无法对电路进行功能描述; C.原理图输入设计方法一般是一种自底向上的设计方法; D.原理图输入设计方法也可进行层次化设计。 5.嵌套使用IF语句,其综合结果可实现_____A___。 A.带优先级且条件相与的逻辑电路; B.条件相或的逻辑电路; C.三态控制电路; D.双向控制电路。 6.电子系统设计优化,主要考虑提高资源利用率减少功耗----即面积优化,以及提高运行

EDA基础知识复习要点.doc

EDA知识要点: 2.VHDL 数据对象有:(1)常量(CONSTANT)(2)变量(VARIABLE)(3)信号(SIGNAL) 3.VHDL语言中的逻辑操作符有:AND与、OR或、NOT非、NAND与非、NOR或非XOR异或、XNOR同或七种 4.目前较流行的集成EDA开发环境(软件)有:MAX+PULSII和QUARTUS II 5.什么是EDA技术?EDA 技术就是以计算机为工作平台、以EDA软件工具为开发环境、以硬 件描述语言为设计语言、以ASIC(App 1 ication Specific Integrated Circuits)为实现载体的电子产品自动化设计的过程 8.简述EDA技术经历了那几个发展阶段。1). CAD (计算机辅助设计)阶段 2). CAE(计算机辅助工程)阶段3). ESDA (电子系统设计自动化)阶段 9.写出元件例化语句语句格式,并说明其作用。元件例化语句山两部分组成,前一部分是把一个现成的设计实体定义为一个元件,第二部分则是此元件与当前设计实体中的连接说明,它们的完整的语句格式如下: COMPONENT 元件名TS 一元件定义语句 GENERIC (类属表); PORT (端口名表); END COMPONENT ; 例化名:元件名PORT MAP (一元件例化语句 [端口名二>]连接端口名,...); 10.试比较图形输入法和文本输入法有何优缺点? 11.结构体的语言格式与作用。 ARCHITECTURE结构体名0F实体名IS (说明语句)用来说明和定义数据对象类型等,可省略 BEGIN (功能描述语句)用来描述内部电路功能的,不可省略 END ARCHITECTURE 结构体名; 结构体用来描述设计实体的结构或行为,即描述一?个实体的功能,把设计实体的输入和输出 之间的联系建立起来。

EDA考试复习试题及答案

EDA考试复习试题及答案 EDA考试复习试题及答案 一、选择题:(20分) 1.下列是EDA技术应用时涉及的步骤: A.原理图/HDL文本输入; B.适配; C.时序仿真; D.编程下载; E.硬件测试; F.综合 请选择合适的项构成基于EDA软件的FPGA/CPLD设计流程: A→___F___→___B__→____C___→D→___E____ 2.PLD的可编程主要基于A.LUT结构或者B.乘积项结构: 请指出下列两种可编程逻辑基于的可编程结构: FPGA基于____A_____ CPLD基于____B_____ 3.在状态机的具体实现时,往往需要针对具体的器件类型来选择合适的状态机编码。 对于A.FPGAB.CPLD两类器件: 一位热码状态机编码方式适合于____A____器件; 顺序编码状态机编码方式适合于____B____器件; 4.下列优化方法中那两种是速度优化方法:____B__、__D__ A.资源共享 B.流水线 C.串行化 D.关键路径优化 单项选择题:

5.综合是EDA设计流程的关键步骤,综合就是把抽象设计层次中的一种表示转化成另一种表示的过程;在下面对综合的描述中, ___D___是错误的。 A.综合就是将电路的高级语言转化成低级的,可与FPGA/CPLD的基本结构相映射的网表文件; B.为实现系统的速度、面积、性能的要求,需要对综合加以约束,称为综合约束; C.综合可理解为,将软件描述与给定的'硬件结构用电路网表文 件表示的映射过程,并且这种映射关系不是唯一的。 D.综合是纯软件的转换过程,与器件硬件结构无关; 6.嵌套的IF语句,其综合结果可实现___D___。 A.条件相与的逻辑 B.条件相或的逻辑 C.条件相异或的逻辑 D.三态控制电路 7.在一个VHDL设计中Idata是一个信号,数据类型为 std_logic_vector,试指出下面那个赋值语句是错误的。D A.idata<=“00001111”; B.idata<=b”0000_1111”; C.idata<=X”AB”; D.idata<=B”21”; 8.在VHDL语言中,下列对时钟边沿检测描述中,错误的是 __D___。 A.ifclk’eventandclk=‘1’then B.iffalling_edge(clk)then

EDA复习总结

一.名词解释 EDA 电子自动化设计electronic design automation FPGA 现场可编程门阵列field programmable gate array CPLD 复杂可编程逻辑器件complex programmable logic device ASIC 特定用途集成电路application specific integrated circuit IP 知识产权intellectual property SOC 片上系统system on a chip FSM 有限状态机finite state machine MPW 多用途晶圆multi project wafer DSP 数字信号处理器digital signal processor MCU 微程序控制器micro control unit HDL 硬件表述语言hardware description language VHDL 超高速集成电路硬件描述语言 very high speed integrated circuit hardware description language 二.简答题 1.top-down方法:从系统硬件的高层次抽象描述向低层次物理描述的一系列转化过程。从顶向下设计由功能级,行为级描述开始;寄存器传输(RTL)级描述为第一个中间结果,再将RTL级描述由逻辑综合网表或电路图;利用EDA工具将网表自动转换换成目标文件下载到现场可编程门阵列|复杂可编程逻辑器件或通过自动布局布线设计成专用集成电路,从而得到电路与系统的物理实现。 2.逻辑综合主要通过综合工具,依据设计人员设定的时序,面积等约束条件,将与工艺无关的RTL级的电路逻辑描述程序,转化为与工艺相关的电路,是将程序设计转化为硬件实现的重要环节。 3.简述可编程器件与ASIC在设计应用成本等方面的优缺点 面向可编程逻辑器件的设计其设计投入资金小,风险小,开发周期短,调试灵活,易学易用,而ASIC设计的设计资金投入大,流片费用都很昂贵,研发投片制作其有一定的失败风险,且其开发周期较长,调试改动设计都比较困难,不过,产品进入大批量生产后,ASIC 成品的成本往往低于可编程器件成本。 4.top-down过程分为:行为级描述,寄存器传输(RTL)级描述,逻辑综合,物理实现。 5.VHDL描述方式:行为级描述,RTL级描述方式,结构级描述方式。 6.仿真过程:行为级仿真,RTL仿真,门级仿真,后仿真。 7.Top-down设计方法特点: 1)在系统设计早期就能发现设计中存在的问题,并尽可能在早期设计阶段就能解决问题。 2)自动化 8.top-down优势 1)在系统设计早期发现设计中存在的问题,提高设计的一次成功率。

EDA复习要点全完整版

E D A复习要点全

25、VHDL常用的预定义数据类型有哪几种,分别在哪些程序 包中如何调用 26、 答:布尔(BOOLEAN)数据类型,位(BIT)数据类型,位矢量(BIT_VECTOR)数据类型,字符(CHARACTER)数据类型。 27、 28、数据类型的转换有哪几种方法?P114 函数转换法、类型标记转换法和常数转换法。 29、 30、可以构成标识符的字符有? 有效的字符:(1)包括26个大小写英文字母,数字0~9以及下划线“_”。(2)任何标识符必须以英文字母开头。(3)必须是单一下划线“_”,且其前后都必须有英文字母或数字。(3)标识符中的英文字母不分大小写。(4)允许包含图形符号(如回车符、换行符等),也允许包含空格符。(5)VHDL的保留字不能用于作为标识符使用。 31、 32、可编程器件(PLD)分为哪两类 33、 答:根据编程特性分为一次编程和重复编程两类 34、 35、标准逻辑位数据类型常用的数值有哪几种? ‘U’--未初始化的,‘X’--强未知的,‘0’--强0,‘1’--强1,‘Z’--高阻态,‘W’--弱未知的,‘L’--弱0,‘H’--弱1,‘-’--忽略。 36、 37、完整的条件语句将产生什么电路,不完整的条件语句将 产生什么电路 38、 完整的条件语句将产生组合电路,不完整的条件语句将产生时序电路 39、 40、信号和变量有什么区别?P121 (1)信号赋值至少有δ延时,而变量赋值没有延时。 (2)信号除当前值外有许多相关的信息,而变量只有当前值。(3)进程对信号敏感而对变量不敏感。 (4)信号可以是多个进程的全局信号;而变量只在定义它们的顺序域可见(共享变量除外)。 (5)信号是硬件中连线的抽象描述,它们的功能是保存变化的数据和连接子元件,信号在元件的端口连接元件。变量在硬件中没有类似的对应关系,它们用于硬件特性的高层次建模所需要的计算中。 (6)信号赋值和变量赋值分别使用不同的赋值符号“<=”和“:=”,信号类型和变量类型可以完全一致,也允许两者之间相互赋值,但要保证两者的类型相同。 41、 42、VHDL作为工业标准,是由那个机构制定并公布的。 IEEE 43、 44、实体部分的端口模式有四个类型。 O U T单向输出端口 I N单向输入端口 I N O U T输入输出双向端口 BUFFER 反馈式双向端口 45、 46、从执行方式看VHDL的基本描述语句包括哪两大基本描述 语句? 顺序语句并行语句 47、 48、VHDL文件存盘时,其主文件名应与实体名一致,扩展名 应为什么呢 49、 .VHD 50、 51、硬件描述语言(HDL)的种类很多? ABEL-HDL,AHDL,VHDL,Verilog-HDL. 52、 53、EDA技术的含义。

EDA复习答案可直接打印

主要知识点 1、从执行方式看VHDL的 描述语句包括那些描述 语句? 用VHDL语言进行设计时,按描述语句的执行顺序进行分类,可将VHDL语句分为顺序执行语句(Sequential)和并行执行语句(Parallel)。 2、目前流行的硬件描述语 言有那些? 常用的硬件描述语言有ABEL-HDL AHDL.VHDL和Verilog-HDL.而VHDL和Verilog-HDL是当前最流行的并成为IEEE标准的硬件描述 语言。 3、MAX+PLUS2中各种文件 的扩展名有哪些? *.vhd *.sym *.gdf *.scf(波形文件) 4、基于MAX+PLUS2的设计 流程 设计输入、编译处理、验证(包括功能仿真、时序仿真、和定时分析)和器件编程 5、目前较流行的EDA设计 软件有那些?(目前较 流行的集成EDA开发环 境(软件)有那些?) ALTERA公司: MAX+PLUS II QUARTUS II(全新的EDA软件,正在逐步替代 MAX+PLUS) LATTICE莱迪思公司: isp EXPERT SYSTEM isp DesignE xpert SYSTEM XILINX西林公司:FOUNDATION ISE(全新的EDA 软件,正在逐步替代FOUNDATION) 6、可编程逻辑器件的分 类?按照变成工艺分哪 些类? SPLD 简单可编程逻辑器件 CPLD 复杂可编程逻辑器件 FPGA 现场可编程门阵列 ISP 在系统(线)可编程逻辑器件 按编程工艺分为:熔丝开关(一次可编程,要求大电流)可编程低阻电路元件(多次编程,要求中电压) EPROM型(紫外线擦除电可编程逻辑器件) EEPROM型(电可擦写编程器件) 基于SRAM的编程元件 7、VHDL程序设计中常用 的库有那些?哪些库是 显式(默认打开的)的, 哪些是隐式的? VHDL程序设计的常用库:IEEE库、STD库、WORK库、VITAL 库、用户定义库。 显示库:IEEE库用户定义库 VITAL库 隐式库:、STD库、WORK库 8、程序包由那两部分组 成?分别有什么作用? 程序包由两部分组 成:程序包首和程序包 体。 程序包首为程序包定义 接口,声明包中的类型、元件、 函数和子程序。程序包体规定 程序包的实际功能,存放说明 中的函数和子程序。 9、常用的预定义程序包有 哪些?如何调用? 常用的预定义的程序包: STD_LOGIC_1164程序包、 STD_LOGIC_ARITH程序包、 STD_LOGIC_UNSIGNED和 STD_LOGIC_SIGNED程序包、 STANDARD和TEXTIO程序包。 10、目前国际上较大的EDA 器件制造公司有那些? ALTERA公司、LATTICE莱 迪思公司、XILINX西林公司 11、VHDL常用的预定义数 据类型有哪几种,分别 在哪些程序包中?如何 调用? 答:布尔(BOOLEAN)数 据类型,位(BIT)数据类型, 位矢量(BIT_VECTOR)数据类 型,字符(CHARACTER)数据 类型。 12、数据类型的转换有哪几 种方法? 函数转换法、类型标记转 换法和常数转换法。 13、可以构成标识符的字符 有?/VHDL的标识符由 什么构成。 有效的字符:(1)包括 26个大小写英文字母,数字 0~9以及下划线“_”。(2) 任何标识符必须以英文字母开 头。(3)必须是单一下划线“_”, 且其前后都必须有英文字母或 数字。(3)标识符中的英文字 母不分大小写。(4)允许包含 图形符号(如回车符、换行符 等),也允许包含空格符。(5) VHDL的保留字不能用于作为标 识符使用。P230 14、可编程器件(PLD)分为 哪两类? 答:根据编程特性分为一 次编程和重复编程两类 15、标准逻辑位数据类型常 用的数值有哪几种? ‘U’--未初始化的,‘X’ --强未知的,‘Z’--高阻态, ‘W’--弱未知的,‘0’--强0, ‘L’--弱0,‘1’--强1,‘H’ --弱1,‘-’--忽略。 16、完整的条件语句将产生 什么电路,不完整的条 件语句将产生什么电 路? 完整的条件语句将产生 组合电路,不完整的条件语句 将产生时序电路 17、信号和变量有什么区 别?P117--118 (1)信号赋值至少有δ延时, 而变量赋值没有延时。 (2)信号除当前值外有许多相 关的信息,而变量只有当前值。 (3)进程对信号敏感而对变量 不敏感。 (4)信号可以是多个进程的全 局信号;而变量(局部量)只在定义 它们的顺序域可见(共享变量除 外)。 (5)信号是硬件中连线的抽象 描述,它们的功能是保存变化的 数据和连接子元件,信号在元 件的端口连接元件。变量在硬 件中没有类似的对应关系,它 们用于硬件特性的高层次建 模所需要的计算中。 (6)信号赋值和变量赋值分 别使用不同的赋值符号 “<=”(信号)和“:=”(变 量),信号类型和变量类型可以 完全一致,也允许两者之间相 互赋值,但要保证两者的类型 相同。 18、VHDL作为工业标准,是 由那个机构制定并公布 的。 IEEE 19、实体部分的端口模 式有四个类型。 O U T单向输 出端口 I N单向输入 端口 I N O U T输入输出 双向端口 BUFFER 反馈式 双向端口 20、从执行方式看VHDL的 基本描述语句包括哪两 大基本描述语句? 顺序语句和并行语句 21、VHDL文件存盘时,其主 文件名应与实体名一 致,扩展名应为.VHD 22、硬件描述语言(HDL)的 种类很多? ABEL-HDL ,AHDL ,VHDL ,Verilog-HDL. 23、EDA技术的含义。 EDA技术就是以计算机为 工作平台、以EDA软件工具为 开发环境、以硬件描述语言为 设计语言、以 ASIC(Application Specific Integrated Circuits)为实现 载体的电子产品自动化设计的 过程 24,简述EDA技术的CPLD/FPGA 的设计流程。 25,写出实体中的PORT语句结 构并说明其作用。 实体端口说明的一般书写格式 如下:(如P77例4-7) PORT(端口名:端口模式 数据类型; ... 端口名:端口模式 数据类型); 作用:由PORT语句引导的端口 说明语句是对一个设计实体界 面的说明。端口为设计实体和 外部环境的动态通信提供通 道。 26,简述EDA技术经历了那几 个发展阶段。 1).CAD(计算机辅助设 计)阶段 2).CAE(计算机辅助工程) 阶段 3).ESDA(电子系统设计 自动化)阶段 27,写出元件例化语句语句格 式,并说明其作用。P283 元件例化语句由两部分 组成,第一部分是对一个现成 的设计实体定义为一个元件, (语句的功能是对待调用的元 件作出调用声明)它的最简表 达式如下所示: COMPONENT 元件名 IS GENERIC(类属表);-- 元件定义语句 PORT (端口名表) ; END COMPONENT 文件名; 元件例化语句的第二部 分则是此元件与当前设计实体 (顶层文件)中元件间及端口的 连接说明。语句的表达式如下: 例化名 : 元件 名 PORT MAP( --元件例化 语句 [端 口名 =>] 连接端口名,...); 28, 试比较图形输入法和文本 输入法有何优缺点? 比如说状态机吧,若用图形 输入的话,需要比较繁琐的转 化,转化成基本原件的连线很 是耗时,若用文本输入的话, 思路比较清晰,分析起来很简 单! 一般功能描述用文本输入法, 逻辑描述用图形文件比较好。 29,结构体的语言格式与作用。 ARCHITECTURE 结构体名 OF 实体名 IS (说明语句) 用来说明 和定义数据对象,类型等,可省 略 BEGIN (功能描述语句) 用 来描述内部电路功能的,不可 省略 END ARCHITECTURE 结构 体名; 结构体用来描述设计实 体的结构或行为,即描述一个 实体的功能,把设计实体的输 入和输出之间的联系建立起 来。 30,写出PROCESS语句结构的 一般表达格式?P135(136) PROCESS语句的表达格式 如下: [进程标号:]PROCESS [(敏感信号参数表)][IS] [进程说明部分] BEGIN 顺序描述语句 END PROCESS[进程标号]; 31,进程语句的设计(或使用) 要点?P137-139 (1)虽然同一结构体中

《EDA技术基础》复习资料

EDA复习资料 《EDA技术基础》题库及参考答案 (试用版) 目录 一、填空题 ....................................................................................................................................... I 二、单选题 (4) 三、简答题 (10) 四、应用题 (11) 五、上机实验题 (15)

一、填空题 1.现代电子技术经历了CAD 、CAE 和EDA 三个主要的发展阶段。 2.EDA技术包括大规模可编程器件、硬件描述语言HDL 、EDA工具软件和实验开发系统四大要素。 3.EDA的设计输入主要包括文本输入方式、图形输入方式和波形输入方式三种形式。 4.目前已经成为IEEE标准、应用最为广泛的硬件描述语言有VHDL 和Verilog HDL 。仿真是一种对所设计电路进行间接检测的方法,包括_ 功能仿真和_ 时序仿真。 5.层次化设计是将一个大的设计项目分解为若干个子项目或若干个层次来完成的。先从底层的电路设计开始,然后在___高层次___的设计中逐级调用低层次的设计结果,直至实现系统电路的设计。 6.用HDL设计的电路,既可以被高层次的系统调用,成为系统的一部分,也可以作为一个电路的功能块独立存在和独立运行____。 7.可编程逻辑器件从结构上可分为乘积项结构器件和查找表结构器件。 8.PLD(FPGA、CLPD)种类繁多,特点各异。共同之处包括的三大部分是逻辑块阵列、输入/输出块和互连资源。 9.FPGA两类配置下载方式是主动配置方式和被动配置方式。 10.Quartus II是EDA器件制造商Altera公司自己开发的___EDA工具___软件。 11.Quartus II工具软件安装成功后、第一次运行前,还必授权。 12.Q uartus II支持原理图、__文本和波形等不同的编辑方式。 13.在Quartus II集成环境下,设计文件不能直接保存在计算机磁盘根目录中,因此设计者在进入设计之前,应当在磁盘根目录中建立保存设计文件的工程目录(文件夹)。 14.在Quartus II集成环境下执行原理图输入设计法,应选择___模块/原理图文件(Block Diagram/Schematic File ).__方法,设计文件的扩展名是__ .bdf_______。 15.无论何种设计环境,VHDL设计文件都__ .vhd_______的扩展名保存,而Verilog HDL设计文件应以__ .v_______的扩展名保存。 16.设计文件输入结束后一定要通过编译(Compiler),检查设计文件是否正确。 17.在Quartus II集成环境下可以执行Create Default Symbol 命令,为设计文件创建一个元件符号。这个元件符号的扩展名为.bsf_____,它可以被其他图形设计文件调用,以实现多层次的系统电路设计。 18.指定设计电路的输入/输出端口与目标芯片引脚的连接关系的过程称为__引脚锁定____。 19.Quartus II中波形文件的扩展名是__ .vwf_______。 20.在完成设计电路的输入/输出端口与目标芯片引脚的锁定后,再次对设计电路的仿真称为___时序仿真__或__后仿真___。 21.以EDA方式实现的电路设计文件,最终可以编程下载到__ FPGA_ _或_ CPLD _芯片中,完成硬件设计和验证。 22.在对设计文件编程下载时,需要选择的ByteBlaster(MV)编程方式,此编程方式对应计算机的___并行口编程下载通道,“MV”是混合电压的意思。 23.一般将一个完整的VHDL程序称为设计实体。 24.V HDL设计实体由库和程序包、实体、结构体、和配置等部分构成。其中___实体和结构体是设计实体的基本组成部分,它们可以构成最基本的VHDL程序。 25.V HDL的设计实体由实体声明部分和结构体组成。 26.V HDL的实体声明部分指定了设计单元的输入/输出端口或引脚,它是设计实体对外的一个通信界面,是外界可以看到的部分;VHDL的结构体用来描述设计实体的逻辑结构和

EDA复习要点 全

主要知识点 1、从执行方式看VHDL的描述语句包括那些描述语句 用VHDL语言进行设计时,按描述语句的执行顺序进行分类,可将VHDL语句分为顺序执行语句(Sequential)和并行执行语句(Parallel)。 2、目前流行的硬件描述语言有那些 常用的硬件描述语言有ABEL-HDL 和Verilog-HDL.而VHDL和Verilog-HDL是当前最流行的并成为IEEE标准的硬件描述语言。 3、MAX+PLUS2中各种文件的扩展名有哪些 *.vhd *.sym *.gdf *.scf 4、基于MAX+PLUS2的设计流程 设计输入、编译处理、验证(包括功能仿真、时序仿真、和定时分析)和器件编程 5、目前较流行的EDA设计软件有那些 ALTERA公司: MAX+PLUS II QUARTUS II(全新的EDA软件,正在逐步替代MAX+PLUS) LATTICE莱迪思公司: isp EXPERT SYSTEM isp DesignExpert SYSTEM XILINX西林公司: FOUNDATION ISE(全新的EDA软件,正在逐步替代FOUNDATION) 6、可编程逻辑器件的分类按照变成工艺分哪些类 SPLD 简单可编程逻辑器件 CPLD 复杂可编程逻辑器件 FPGA 现场可编程门阵列 ISP 在系统(线)可编程逻辑器件 按编程工艺分为:熔丝开关(一次可编程,要求大电流) 可编程低阻电路元件(多次编程,要求中电压) EPROM型(紫外线擦除电可编程逻辑器件) E PROM型(电可擦写编程器件) 基于SRAM的编程元件 7、VHDL程序设计中常用的库有那些哪些库是显式(默 认打开的)的,哪些是隐式的P159 VHDL程序设计的常用库:IEEE库、STD库、WORK 库、VITAL库、用户定义库。 显示库:IEEE库用户定义库 VITAL库 隐式库:、STD库、WORK库 8、程序包由那两部分组成分别有什么作用 P161 程序包由两部分组成:程序包首和程序包体,程序包首为程序包定义接口,声明包中的类型、元件、函数和子程序。程序包体规定程序包的实际功能,存放说明中的函数和子程序。 9、常用的预定义程序包有哪些如何调用P163 常用的预定义的程序包:STD_LOGIC_1164程序包、STD_LOGIC_ARITH程序包、STD_LOGIC_UNSIGNED和 STD_LOGIC_SIGNED程序包、STANDARD和TEXTIO程序包。 10、目前国际上较大的EDA器件制造公司有那些 ALTERA公司、LATTICE莱迪思公司、XILINX西林公司 11、VHDL常用的预定义数据类型有哪几种,分别在哪些 程序包中如何调用 答:布尔(BOOLEAN)数据类型,位(BIT)数据类型,位矢量(BIT_VECTOR)数据类型,字符(CHARACTER)数据类型。 12、数据类型的转换有哪几种方法P114 函数转换法、类型标记转换法和常数转换法。 13、可以构成标识符的字符有 有效的字符:(1)包括26个大小写英文字母,数字0~9以及下划线“_”。(2)任何标识符必须以英文字母开头。(3)必须是单一下划线“_”,且其前后都必须有英文字母或数字。(3)标识符中的英文字母不分大小写。(4)允许包含图形符号(如回车符、换行符等),也允许包含空格符。(5)VHDL的保留字不能用于作为标识符使用。 14、可编程器件(PLD)分为哪两类 答:根据编程特性分为一次编程和重复编程两类15、标准逻辑位数据类型常用的数值有哪几种 ‘U’--未初始化的,‘X’--强未知的,‘0’--强0,‘1’--强1,‘Z’--高阻态,‘W’--弱未知的,‘L’--弱0,‘H’--弱1,‘-’--忽略。 16、完整的条件语句将产生什么电路,不完整的条件语 句将产生什么电路 完整的条件语句将产生组合电路,不完整的条件语句将产生时序电路 17、信号和变量有什么区别P121 (1)信号赋值至少有δ延时,而变量赋值没有延时。(2)信号除当前值外有许多相关的信息,而变量只有当前值。 (3)进程对信号敏感而对变量不敏感。 (4)信号可以是多个进程的全局信号;而变量只在定义它们的顺序域可见(共享变量除外)。 (5)信号是硬件中连线的抽象描述,它们的功能是保存变化的数据和连接子元件,信号在元件的端口连接元件。变量在硬件中没有类似的对应关系,它们用于硬件特性的高层次建模所需要的计算中。

EDA复习的知识要点1

EDA知识要点: 1、目前流行的HDL语言有那些?; 2、什么是ASIC。 3、VHDL是由什么机构制定并公布的。 4、VHDL的两大类基本描述语句是什么。 5、MAX+PLUSⅡ平台上,原理图、仿真波形文件、VHDL文件的扩展名 是什么? 6、结构体常见的功能语句有那些? 7、子程序分为那两类,其结构为什么。 8、信号与变量的赋值有何区别?。 9、可编程器件分为哪些类? 10、VHDL中常见的库有那些?。 11、不完整的条件语句与完整的条件语句生成的电路有何区别 12、VHDL的标识符由什么构成。 13、VHDL中预定义数据类型有那些?。 14、CASE语句使用当中的注意事项。 15、目前国际上较大的PLD器件制造公司有那几家公司。 16、VHDL数据对象有什么 17、赋值语句分哪些类,分别写出一句赋值语句。 18、实现时序电路和逻辑组合电路分别用什么语句实现,分别写出他 们的一般表式。 19、简述元件例化语句组成及语句格式。

20、数据对象有哪些种,分别写出定义这些数据对象的一般表述格式。 21、简述进程语句的使用要点? 22、写出VHDL常用的顺序语句的名称。 23、简述VHDL逻辑操作符的种类及所允许的操作数的数据类型。 24、EDA技术的含义。 25、VHDL语言中的逻辑操作符有那些? 26、目前较流行的集成EDA开发环境(软件)有那些? 27、简述EDA技术的CPLD/FPGA的设计流程。 28、写出实体中的PORT语句结构并说明其作用。 29、简述EDA技术经历了那几个发展阶段。 30、写出元件例化语句语句格式,并说明其作用。 31、试比较图形输入法和文本输入法有何优缺点? 32、结构体的语言格式与作用。 33、写出PROCESS语句结构的一般表达格式? 34、EDA技术常用的输入方法有? 35、什么是实体和结构体,其功能是什么?, 36、MAX+pulsⅡ的编辑窗口有那几种,分别是什么? 37、MAX+pulsⅡ的原理图输入法、文本输入法、波形输入法生成的文 件扩展名为? 38、VHDL的操作符有那几大类?每一类的操作符分别是什么?每一类 操作符可以对那些数据进行操作(运算)? 39、VHDL中如没有特别的说明算术操作符‘ + ’号对应的操作数为什

EDA试卷及答案很好的EDA技术复习资料

EDA试卷 一、单项选择题 1、2. 基于EDA软件的FPGA/CPLD设计流程为:原理图/HDL文本输入→________→综合→适配→__________→编程下载→硬件测试。 A. 功能仿真 B. 时序仿真 C. 逻辑综合 D. 配置 3. IP核在EDA技术和开发中具有十分重要的地位;提供用VHDL等硬件描述语言描述的功能块,但不涉及实现该功能块的具体电路的IP核为__________。 A. 软IP B. 固IP C. 硬IP D. 全对 4. 综合是EDA设计流程的关键步骤,在下面对综合的描述中,_________是错误的。 A. 综合就是把抽象设计层次中的一种表示转化成另一种表示的过程。 B. 综合就是将电路的高级语言转化成低级的,可与FPGA / CPLD的基本结构相映射的网表文件。 C. 为实现系统的速度、面积、性能的要求,需要对综合加以约束,称为综合约束。 D. 综合可理解为,将软件描述与给定的硬件结构用电路网表文件表示的映射过程,并且这种映射关系是唯一的(即综合结果是唯一的)。 5. 大规模可编程器件主要有FPGA、CPLD两类,其中CPLD通过_______实现其逻辑功能。 A. 可编程乘积项逻辑 B. 查找表(LUT) C. 输入缓冲 D. 输出缓冲 6. VHDL语言是一种结构化设计语言;一个设计实体(电路模块)包括实体与结构体两部分,结构体描述___________。 A. 器件外部特性 B. 器件的内部功能 C. 器件外部特性与内部功能 D. 器件的综合约束 7. 电子系统设计优化,主要考虑提高资源利用率减少功耗(即面积优化),以及提高运行速度(即速度优化);下列方法中________不属于面积优化。 A. 流水线设计 B. 资源共享 C. 逻辑优化 D. 串行化 8. 进程中的信号赋值语句,其信号更新是_________。 A. 立即完成 B. 在进程的最后完成 C. 按顺序完成 D. 都不对 9. 不完整的IF语句,其综合结果可实现________。 A. 时序逻辑电路 B. 组合逻辑电路

EDA复习题(含标准答案)

1.可编程逻辑器件在现代电子设计中越来越重要,请问:你所知道的可编程逻辑器件有哪些?目前最常用的两种器件是什么?其结构特征如何? 答:按可编程逻辑器件的发展,有简单PLD器件(包括PLA、PAL、GAL、CPLD、FPGA 等)和复杂PLD器件两大类。目前最常用的两种复杂PLD器件是CPLD和FPGA。CPLD 即复杂可编程逻辑器件,其结构是基于ROM的乘积项的可编程结构,而FPGA 是现场可编程门阵列器件,其结构基于可编程的查找表。 2.简述FPGA等可编程逻辑器件设计流程 答:FPGA等可编程逻辑器件的设计流程即现代EDA设计的流程,主要包括设计输入、逻辑与结构综合、时序与功能仿真、编程下载、硬件测试等步骤。(或绘流程图说明) 3.一个设计实体由哪几个基本部分组成?它们的作用如何? 答:(1)库与程序包部分:使实体所用资源可见;(2)实体部分:设计实体的外部特征描述;(3)结构体部分:设计实体的内部电路结构或功能描述。 4.进程语句是如何启动的? 答:进程由敏感信号列表中的敏感信号的变化启动。有两种格式:一种是 PROCESS(敏感信号表)IS,一种是PROCESS W AIT UNTILL敏感信号 5.过程与函数的区别体现在哪些方面? 答:相同点:过程与函数都属于子程序,;都需要先定义后使用;都允许调用;都可以重载。但也有不同:(1)过程调用时作为一个独立的语句出现,函数调用时只能作为一个语句元素出现;(2)函数调用的结果是返回一个函数值,过程调用的结果是执行过程体中的顺序语句。6.过程可以定义在一个VHDL程序的那些位置?函数可以定义在一个VHDL程序的那些位置? 7.VHDL是强类型语言还是弱类型语言?若数据类型不一致能否进行数据操作?如能,如何实现? 答:强类型语言,即只有同类型的数据能够直接进行数据操作。若数据类型不一致不能进行直接数据?操作,但能够通过类型转换函数等方法转换为同类型数据后进行操作。 8. 有限状态机适用于什么数字系统的设计?有何优点? 答:有限状态机适用于具有顺序控制特征的数字系统设计,一般作为系统的控制部分。具有结构模式简单、结构清晰、易优化、可靠性高、可实现高速控制等优点。 9.详细讨论并用示例说明with_select语句和case语句的异同点。 相同点:(1)描述完全条件;(2)条件的列出要求一致;… 不同点:(1)with_select是并行语句,case是顺序语句;(2)格式上,with_select 语句只有最后一个子句分隔符用分号“;”,前面所有子句用逗号“;”。case语句的所有子句分隔符都用分号“;”;… 10.传统设计方法和EDA设计方法的主要的不同点? 传统设计方法:自下而上(Bottom - up)的设计方法,是以固定功能元件为基础,基于电路板的设计方法。 EDA方法:自上而下(Top -Down)的设计方法。其方案验证与设计、系统逻辑综合、布局布线、性能仿真、器件编程等均由EDA工具一体化完成。 11.现代数字系统常用设计方法有哪些? 自顶向下(Top--down)设计,自低向下(Bottom--up)设计,IP复用技术与SoC(片上系统。 12.VHDL语言可以把任意复杂的电路系统视作一个模块,一个模块可主要分为哪三个组成部分?

相关文档