文档库 最新最全的文档下载
当前位置:文档库 › 集成电路CMOS题库

集成电路CMOS题库

集成电路CMOS题库
集成电路CMOS题库

一、选择题

1.Gordon Moore 在1965年预言:每个芯片上晶体管的数目将每个月翻一番。 (B )

A.12

B.18

C.20

D.24

2.MOS 管的小信号输出电阻是由MOS 管的效应产生的。 (C )

A.体

B.衬偏

C.沟长调制

D.亚阈值导通

3.在CMOS 模拟集成电路设计中,我们一般让MOS 管工作在区。 (D )

A.亚阈值区

B.深三极管区

C.三极管区

D.饱和区

4.MOS 管一旦出现现象,此时的MOS 管将进入饱和区。 (A )

A.夹断

B.反型

C.导电

D.耗尽

5.表征了MOS 器件的灵敏度。 (C )

A.o r

B.b m g

C.m g

D.ox n c u

6.Cascode 放大器中两个相同的NMOS 管具有不相同的。 (B )

A.o r

B.b m g

C.m g

D.ox n c u

7.基本差分对电路中对共模增益影响最显著的因素是。 (C )

A.尾电流源的小信号输出阻抗为有限值

B.负载不匹配

C.输入MOS 不匹配

D.电路制造中的误差

8.下列电路不能能使用半边电路法计算差模增益。 ( C

A.二极管负载差分放大器

B.电流源负载差分放大器

C.有源电流镜差分放大器

D.Cascode 负载Casocde 差分放大器

9.镜像电流源一般要求相同的。 ( D

) A.制造工艺 B.器件宽长比 C.器件宽度W D.器件长度L

10. NMOS 管的导电沟道中依靠导电。 ()

A.电子

B.空穴

C.正电荷

D.负电荷

11.下列结构中密勒效应最大的是。 (A )

A.共源级放大器

B.源级跟随器

C.共栅级放大器

D.共源共栅级放大器

12.在NMOS 中,若0V sb 会使阈值电。 (A )

A.增大

B.不变

C.减小

D.可大可小

13. 模拟集成电路设计中可使用大信号分析方法的是。 (C )

A.增益

B.输出电阻

C.输出摆幅

D.输入电阻

14. 模拟集成电路设计中可使用小信号分析方法的是。 (A )

A.增益

B.电压净空

C.输出摆幅

D.输入偏置

15. 下图中,其中电压放大器的增益为-A ,假定该放大器为理想放大器。请计算该 电路的等效输入电阻为。()

第15题

A. A R +1

B.A

R 11+ C.)1(A R + D. )11(A R + 16.不能直接工作的共源极放大器是共源极放大器。 (C )

A.电阻负载

B.二极管连接负载

C.电流源负载

D.二极管和电流源并联负载

17.模拟集成电路设计中的最后一步是。 (B )

A.电路设计

B.版图设计

C.规格定义

D.电路结构选择

18.在当今的集成电路制造工艺中,工艺制造的IC 在功耗方面具有最大的优势。 (B )

A.MOS

B.CMOS

C.Bipolar

D.BiCMOS

19.PMOS 管的导电沟道中依靠导电。 (B )

B.电子 B.空穴

C.正电荷

D.负电荷

20.电阻负载共源级放大器中,下列措施不能提高放大器小信号增益的是。 (D )

A.增大器件宽长比

B.增大负载电阻

C.降低输入信号直流电平

D.增大器件的沟道长度L

21. 下列不是基本差分对电路中尾电流的作用的是。 (D )

A.为放大器管提供固定偏置

B.为放大管提供电流通路

C.减小放大器的共模增益

D.提高放大器的增益

22.共源共栅放大器结构的一个重要特性就是输出阻抗。 (D )

A.低

B.一般

C.高

D.很高

23. MOS 管的漏源电流受栅源过驱动电压控制,我们定义来表示电压转换电流的

能力。(A)

A.跨导

B.受控电流源

C.跨阻

D.小信号增益

24.MOS管漏电流的变化量除以栅源电压的变化量是。(C)

A.电导

B.电阻

C.跨导

D.跨阻

25.随着微电子工艺水平提高,特征尺寸不断减小,这时电路的工作电压会(D)

A.不断提高

B.不变

C.可大可小

D.不断降低

26.工作在饱和区的MOS管,可以被看作是一个。(B)

A.恒压源

B.电压控制电流源

C.恒流源

D.电流控制电压源

27.模拟集成电路设计中的第一步是。(C)

A.电路设计

B.版图设计

C.规格定义

D.电路结构选择

28.NMOS管中,如果VB变得更负,则耗尽层。(C)

A.不变

B.变得更窄

C.变得更宽

D.几乎不变

29.模拟集成电路设计中的最后一步是。(B)

A.电路设计

B.版图设计

C.规格定义

D.电路结构选择

30.不能直接工作的共源极放大器是(C )共源极放大器。

A.电阻负载

B.二极管连接负载

C.电流源负载

D.二极管和电流源并联负载

31.采用二极管连接的CMOS,因漏极和栅极电势相同,这时晶体管总是工作在。()

A.线性区

B.饱和区

C.截止区

D.亚阈值区

32.对于MOS管,当W/L保持不变时,MOS管的跨导随过驱动电压的变化是。()

A.单调增加

B.单调减小

C.开口向上的抛物线

D.开口向下的抛物线

33.对于MOS器件,器件如果进入三极管区(线性区), 跨导将。()

A.增加B.减少C.不变 D.可能增加也可能减小

34.采用PMOS二极管连接方式做负载的NMOS共源放大器,下面说法正确的是。()

A. PMOS和NMOS都存在体效应,电压放大系数与NMOS和PMOS的宽长比有关。

B. PMOS和NMOS都存在体效应,电压放大系数与NMOS和PMOS的宽长比无

关。

C. PMOS和NMOS 不存在体效应,电压放大系数与NMOS和PMOS的宽长比无关。

D. PMOS和NMOS不存在体效应,电压放大系数与NMOS和PMOS的宽长比有关。

35.在W/L保持不变的情况下,跨导随过驱动电压和漏电流变化的关系是()

A.跨导随过驱动电压增大而增大,跨导随漏电流增大而增大。

B. 跨导随过驱动电压增大而增大,跨导随漏电流增大而减小。

C. 跨导随过驱动电压增大而减小,跨导随漏电流增大而增大。

D. 跨导随过驱动电压增大而减小,跨导随漏电流增大而减小。

36.和共源极放大器相比较, 共源共栅放大器的密勒效应要。()

A.小得多

B.相当

C.大得多

D.不确定

37. MOSFETs的阈值电压具有温度特性。()

A . 零 B. 负 C. 正 D. 可正可负。

38.在差分电路中, 可采用恒流源替换”长尾”电阻. 这时要求替换”长尾”的恒流源的输出电阻。()

A.越高越好B.越低越好 C. 没有要求D. 可高可低

39.MOS器件中,保持VDS不变,随着VGS的增加,MOS器件。()

A. 从饱和区——>线性区——>截止区

B. 从饱和区——>截止区——>线性区

C. 从截止区——>饱和区——>线性区

D. 从截止区——>线性区——>饱和区

40.对于共源共栅放大电路, 如果考虑器件的衬底偏置效应, 则电压增益会()A.增大 B.不变 C.减小 D.可能增大也可能减小

41.在当今的集成电路制造工艺中,工艺制造的IC在功耗方面具有最大的优势。()

A.MOS

B.CMOS

C.Bipolar

D.BiCMOS

42.保证沟道宽度不变的情况下,采用电流源负载的共源级为了提高电压增益,可以。()

A. 减小放大管的沟道长度,减小负载管的沟道长度;

B. 减小放大管的沟道长度,增加负载管的沟道长度;

C. 增加放大管的沟道长度,减小负载管的沟道长度;

D. 增加放大管的沟道长度,增加负载管的沟道长度。

43.随着微电子工艺水平提高,特征尺寸不断减小,这时电路的工作电压会

。()

A.不断提高

B.不变

C.可大可小

D.不断降低

44.NMOS管中,如果V B电压变得更负,则耗尽层。()

A.不变

B.变得更窄

C.变得更宽

D.几乎不变

45.在CMOS差分输入级中, 下面的做法哪个对减小输入失调电压有利()

A.减小有源负载管的宽长比

B.提高静态工作电流.

C.减小差分对管的沟道长度和宽度

D.提高器件的开启(阈值)电压

二、简答题

1.CMOS模拟集成电路中,PMOS管的衬底应该如何连接?为什么?(5分)

解:在CMOS工艺中,由于PMOS管做在N型的“局部衬底”也就是N阱里面,因此PMOS管的局部衬底接局部高电位。

2. 什么是N阱?(5分)

解:CMOS工艺中,PMOS管与NMOS管必须做在同一衬底上,若衬底为P型,则PMOS管要做在一个N型的“局部衬底”上,这块与衬底掺杂类型相反的N型“局部衬底”叫做N阱。

3.解释什么叫沟道长度调制效应?(5分)

解:MOS晶体管存在速度饱和效应。器件工作时,当漏源电压增大时,实际的反型层沟道长度逐渐减小,即沟道长度是漏源电压的函数,这一效应称为“沟道长度调制效应”

4.何谓MOS管的跨导?写出NMOS管在不同工作区域中的跨导表达式。(10分)解:漏电流的变化量除以栅源电压的变化量称之为跨导。

放大区:饱和区:

截止区:电流为0无跨导

5.IC设计常用软件有哪些?(10分)

解:Cadence、Mentor Graphics和Synopsys

6.CMOS模拟集成电路中,NMOS管的衬底应该如何连接?为什么?(5分)

解:NMOS衬底接最低电位;目的是为了让衬底PN结反偏,限制载流子只在沟道里流动。

7.简单说明模拟集成电路芯片一般的设计流程。(5分)

8.何谓MOS管的跨导?写出PMOS管在不同工作区域中的跨导表达式。(10分)解:漏电流的变化量除以栅源电压的变化量称之为跨导。

放大区:gm=μp饱和区;

截止区:电流为0无跨导

9.以NMOS为例,忽略高阶效应,写出器件工作的三个状态的条件,并写出三个状态下的I-V特性方程,推导不同工作状态下的跨导表达式。(10分)

解:其各段工作情况为:当V

GS -V

TH

<0 时,管子关断,处于微弱导通区,或者处

于亚阈值区;当V

GS -V

TH

>0 时,管子导通,此时,若V

DS

GS

-V

TH

时,管子处于线

性放大区,或者三角区,或者线性区;若V

DS >V

GS

-V

TH

时,管子处于饱和区,漏电

流基本保持不变。

线性区:

饱和区:

10.简单描述N阱CMOS工艺的主要流程步骤,画出N阱CMOS工艺下的CMOS 器件剖面示意图。(10分)

解:主要工艺流程步骤为:晶圆准备;杂质注入扩散;氧化;光刻;腐蚀;淀积;

CMOS器件剖面示意图为:

11.分析差分电路中器件不匹配对差分对性能所造成的影响。(5分)

12.给出下图电路中的Vout表达式。(R1=R2) (5分)

13.写出NMOS 管构成的基本电流镜在忽略沟道长度调制情况下的输出电流out I 和参考电流的关系式REF I 。 (5分)

解: NMOS 管构成的基本电流镜 I out /I ref =(w/l)2/(w/l)1

14.图(a)

是什么结构?

图(b)忽略了沟道调制效应和体效应。如果体效应不能忽略,请画出Vin 和Vout 的关系曲线,并出解释。 (10分)

15.画出下图的小信号等效电路,推导Rin的表达式。 (10分)

16.什么是体效应?体效应会对电路产生什么影响?(5分)

解:理想情况下是假设晶体管的衬底和源是短接的,实际上两者并不一定电位相同,当VB 变得更负时,VTH增加,这种效应叫做体效应。体效应会改变晶体管的阈值电压。

17.带有源极负反馈的共源极放大电路相对于基本共源极电路有什么优点?(10分)

解:由带有源极负反馈的共源极放大电路的等效跨导表达式得,若RS>>1/gm,则Gm≈1/RS,所以漏电流是输入电压的线性函数。所以相对于基

本共源极电路,带有源极负反馈的共源极放大电路具有更好的线性。

三、计算题

1.MOS管的跨导对于由MOS管构成的电路性能有重大的影响,试分析以下三种

情况,跨导随着某一个参数变化,而其他参数保持恒定时的特性,画出相应曲线(1) W/L 不变时,gm 与 (V GS-V TH ) 的变化曲线;

(2) W/L 不变时,gm 与 I D的变化曲线;

(3)ID 不变时,gm 与 (V GS-V TH ) 的变化曲线。(共15分)

2.对于下图所示的两个电路,分别求解并画出I X和晶体管跨导关于V X的函数曲线草图,V X从0变化到1.5 V 。(20分)

图(a)图(b)

解:

3.下图是哪种类型的放大器?有哪些优点?写出其增益表达式。其中

(15分)

第1题

4.画出带隙基准的构成原理框图,说明带隙的含义,并设计一个带隙基准实现电路。(20分)

解:带隙基准的构成原理图如下图所示:

它是利用V

BE 的负温度系数和V

t

的正温度系数相结合,从而实现0温度系数的电

压参考。根据以上原理图,可以得到,因为在室温下,然而,我们可以令,选择使得, 也就是

即可得到零温度系数,则此时,刚好等于硅的带隙能量,所以称为带隙基准。实现电路如图所示。

5、试分析所示电路,在低频区域中,要求(1) 求出其小信号增益; (2) 求出其输入阻抗;(3)求出其输出阻抗。(15分)

6、下图电路的功能是什么?假设Vx=Vy,求Iout?

其中(15分)

7.计算电路的小信号增益。(10分)

解:

8.画出下图共源极高频模型的小信号等效电路,并利用小信号模型精确推导系统的极点频率。(10分)

9.对于下图所示的电阻负载共源放大器,如果忽略M1沟道调制效应,分析并推导M1的三个工作区域,以及画出该电路的输入输出特性曲线。(15分)

CMOS模拟集成电路课程设计

电子科学与技术系 课程设计 中文题目:CMOS二输入与非门的设计 英文题目: The design of CMOS two input NAND gate 姓名:张德龙 学号: 1207010128 专业名称:电子科学与技术 指导教师:宋明歆 2015年7月4日

CMOS二输入与非门的设计 张德龙哈尔滨理工大学电子科学与技术系 [内容摘要]随着微电子技术的快速发展,人们生活水平不断提高,使得科学技术已融入到社会生活中每一个方面。而对于现代信息产业和信息社会的基础来讲,集成电路是改造和提升传统产业的核心技术。随着全球信息化、网络化和知识经济浪潮的到来,集成电路产业的地位越来越重要,它已成为事关国民经济、国防建设、人民生活和信息安全的基础性、战略性产业。 集成电路有两种。一种是模拟集成电路。另一种是数字集成电路。本次课程设计将要运用S-Edit、L-edit、以及T-spice等工具设计出CMOS二输入与非门电路并生成spice文件再画出电路版图。 [关键词]CMOS二输入与非门电路设计仿真

目录 1.概述 (1) 2.CMOS二输入与非门的设计准备工作 (1) 2-1 .CMOS二输入与非门的基本构成电路 (1) 2-2.计算相关参数 (2) 2-3.电路spice文件 (3) 2-4.分析电路性质 (3) 3、使用L-Edit绘制基本CMOS二输入与非门版图 (4) 3-1.CMOS二输入与非门设计的规则与布局布线 (4) 3-2.CMOS二输入与非门的版图绘制与实现 (5) 4、总结 (6) 5、参考文献 (6)

1.概述 本次课程设计将使用S-Edit画出CMOS二输入与非门电路的电路图,并用T-spice生成电路文件,然后经过一系列添加操作进行仿真模拟,计算相关参数、分析电路性质,在W-edit中使电路仿真图像,最后将电路图绘制电路版图进行对比并且做出总结。 2.CMOS二输入与非门的设计准备工作 2-1 .CMOS二输入与非门的基本构成电路 使用S-Edit绘制的CMOS与非门电路如图1。 图1 基本的CMOS二输入与非门电路 1

电子科技大学集成电路原理实验CMOS模拟集成电路设计与仿真王向展

实验报告 课程名称:集成电路原理 实验名称: CMOS模拟集成电路设计与仿真 小组成员: 实验地点:科技实验大楼606 实验时间: 2017年6月12日 2017年6月12日 微电子与固体电子学院

一、实验名称:CMOS模拟集成电路设计与仿真 二、实验学时:4 三、实验原理 1、转换速率(SR):也称压摆率,单位是V/μs。运放接成闭环条件下,将一个阶跃信号输入到运放的输入端,从运放的输出端测得运放的输出上升速率。 2、开环增益:当放大器中没有加入负反馈电路时的放大增益称为开环增益。 3、增益带宽积:放大器带宽和带宽增益的乘积,即运放增益下降为1时所对应的频率。 4、相位裕度:使得增益降为1时对应的频率点的相位与-180相位的差值。 5、输入共模范围:在差分放大电路中,二个输入端所加的是大小相等,极性相同的输入信号叫共模信号,此信号的范围叫共模输入信号范围。 6、输出电压摆幅:一般指输出电压最大值和最小值的差。 图 1两级共源CMOS运放电路图 实验所用原理图如图1所示。图中有多个电流镜结构,M1、M2构成源耦合对,做差分输入;M3、M4构成电流镜做M1、M2的有源负载;M5、M8构成电流镜提供恒流源;M8、M9为偏置电路提供偏置。M6、M7为二级放大电路,Cc为引入的米勒补偿电容。 其中主要技术指标与电路的电气参数及几何尺寸的关系:

转换速率:SR=I5 I I 第一级增益:I I1=?I I2 I II2+I II4=?2I I1 I5(I2+I3) 第二级增益:I I2=?I I6 I II6+I II7=?2I I6 I6(I6+I7) 单位增益带宽:GB=I I2 I I 输出级极点:I2=?I I6 I I 零点:I1=I I6 I I 正CMR:I II,III=I II?√5 I3 ?|I II3|(III)+I II1,III 负CMR:I II,III=√I5 I1+I II5,饱和 +I II1,III+I II 饱和电压:I II,饱和=√2I II I 功耗:I IIII=(I8+I5+I7)(I II+I II) 四、实验目的 本实验是基于微电子技术应用背景和《集成电路原理与设计》课程设置及其特点而设置,为IC设计性实验。其目的在于: 根据实验任务要求,综合运用课程所学知识自主完成相应的模拟集成电路设计,掌握基本的IC设计技巧。 学习并掌握国际流行的EDA仿真软件Cadence的使用方法,并进行电路的模拟仿真。 五、实验内容 1、根据设计指标要求,针对CMOS两级共源运放结构,分析计算各器件尺寸。 2、电路的仿真与分析,重点进行直流工作点、交流AC和瞬态Trans分析,能熟练掌握各种分析的参数设置方法与仿真结果的查看方法。 3、电路性能的优化与器件参数调试,要求达到预定的技术指标。

模拟集成电路设计期末试卷

《模拟集成电路设计原理》期末考试 一.填空题(每空1分,共14分) 1、与其它类型的晶体管相比,MOS器件的尺寸很容易按____比例____缩小,CMOS电路被证明具有_ 较低__的制造成本。 2、放大应用时,通常使MOS管工作在_ 饱和_区,电流受栅源过驱动电压控制,我们定义_跨导_来 表示电压转换电流的能力。 3、λ为沟长调制效应系数,对于较长的沟道,λ值____较小___(较大、较小)。 4、源跟随器主要应用是起到___电压缓冲器___的作用。 5、共源共栅放大器结构的一个重要特性就是_输出阻抗_很高,因此可以做成___恒定电流源_。 6、由于_尾电流源输出阻抗为有限值_或_电路不完全对称_等因素,共模输入电平的变化会引起差动输 出的改变。 7、理想情况下,_电流镜_结构可以精确地复制电流而不受工艺和温度的影响,实际应用中,为了抑制 沟长调制效应带来的误差,可以进一步将其改进为__共源共栅电流镜__结构。 8、为方便求解,在一定条件下可用___极点—结点关联_法估算系统的极点频率。 9、与差动对结合使用的有源电流镜结构如下图所示,电路的输入电容C in为__ C F(1-A)__。 10、λ为沟长调制效应系数,λ值与沟道长度成___反比__(正比、反比)。 二.名词解释(每题3分,共15分) 1、阱 解:在CMOS工艺中,PMOS管与NMOS管必须做在同一衬底上,其中某一类器件要做在一个“局部衬底”上,这块与衬底掺杂类型相反的“局部衬底”叫做阱。 2、亚阈值导电效应 解:实际上,V GS=V TH时,一个“弱”的反型层仍然存在,并有一些源漏电流,甚至当V GS

模拟集成电路复习

1、 研究模拟集成电路的重要性:(1)首先,MOSFET 的特征尺寸越来越小,本征速度越来 越快;(2)SOC 芯片发展的需求。 2、 模拟设计困难的原因:(1)模拟设计涉及到在速度、功耗、增益、精度、电源电压等多 种因素间进行折衷,而数字电路只需在速度和功耗之间折衷;(2)模拟电路对噪声、串扰和其它干扰比数字电路要敏感得多;(3)器件的二级效应对模拟电路的影响比数字电路要严重得多;(4)高性能模拟电路的设计很少能自动完成,而许多数字电路都是自动综合和布局的。 3、 鲁棒性就是系统的健壮性。它是在异常和危险情况下系统生存的关键。所谓“鲁棒性”, 是指控制系统在一定的参数摄动下,维持某些性能的特性。 4、 版图设计过程:设计规则检查(DRC )、电气规则检查(ERC )、一致性校验(LVS )、RC 分布参数提取 5、 MOS 管正常工作的基本条件是:所有衬源(B 、S )、衬漏(B 、D )pn 结必须反偏 6、 沟道为夹断条件: ?GD GS DS T DS GS TH H V =V -≤V V V -V ≥V 7、 (1)截止区:Id=0;Vgs

模拟cmos集成电路设计实验

模拟cmos集成电路设计实验 实验要求: 设计一个单级放大器和一个两级运算放大器。单级放大器设计在课堂检查,两级运算放大器设计需要于学期结束前,提交一份实验报告。实验报告包括以下几部分内容: 1、电路结构分析及公式推导 (例如如何根据指标确定端口电压及宽长比) 2、电路设计步骤 3、仿真测试图 (需包含瞬态、直流和交流仿真图) 4、给出每个MOS管的宽长比 (做成表格形式,并在旁边附上电路图,与电路图一一对应) 5、实验心得和小结 单级放大器设计指标 两级放大器设计指标

实验操作步骤: a.安装Xmanager b.打开Xmanager中的Xstart

c.在Xstart中输入服务器地址、账号和密码 Host:202.38.81.119 Protocol: SSH Username/password: 学号(大写)/ 学号@567& (大写)Command : Linux type 2 然后点击run运行。会弹出xterm窗口。 修改密码

输入passwd,先输入当前密码,然后再输入两遍新密码。 注意密码不会显示出来。 d.设置服务器节点 用浏览器登陆http://202.38.81.119/ganglia/,查看机器负载情况,尽量选择负载轻的机器登陆,(注:mgt和rack01不要选取) 选择节点,在xterm中输入 ssh –X c01n?? (X为大写,??为节点名) 如选择13号节点,则输入ssh –X c01n13 e.文件夹管理 通常在主目录中,不同工艺库建立相应的文件夹,便于管理。本实验采用SMIC40nm工艺,所以在主目录新建SMIC40文件夹。 在xterm中,输入mkdir SMIC40 然后进入新建的SMIC40文件夹, 在xterm中,输入cd SMIC40.

【书】模拟CMOS集成电路设计 毕查德.拉扎维著

【简介】模拟集成电路的设计与其说是一门技术,还不如说是一门艺术。它比数字集成电路设计需要更严格的分析和更丰富的直觉。严谨坚实的理论无疑是严格分析能力的基石,而设计者的实践经验无疑是诞生丰富直觉的源泉。这也正足初学者对学习模拟集成电路设计感到困惑并难以驾驭的根本原因。. 美国加州大学洛杉机分校(UCLA)Razavi教授凭借着他在美国多所著名大学执教多年的丰富教学经验和在世界知名顶级公司(AT&T,Bell Lab,HP)卓著的研究经历为我们提供了这本优秀的教材。本书自2000午出版以来得到了国内外读者的好评和青睐,被许多国际知名大学选为教科书。同时,由于原著者在世界知名顶级公司的丰富研究经历,使本书也非常适合作为CMOS模拟集成电路设计或相关领域的研究人员和工程技术人员的参考书。... 本书介绍模拟CMOS集成电路的分析与设计。从直观和严密的角度阐述了各种模拟电路的基本原理和概念,同时还阐述了在SOC中模拟电路设计遇到的新问题及电路技术的新发展。本书由浅入深,理论与实际结合,提供了大量现代工业中的设计实例。全书共18章。前10章介绍各种基本模块和运放及其频率响应和噪声。第11章至第13章介绍带隙基准、开关电容电路以及电路的非线性和失配的影响,第14、15章介绍振荡器和锁相环。第16章至18章介绍MOS器件的高阶效应及其模型、CMOS制造工艺和混合信号电路的版图与封装。

模拟CMOS集成电路设计.part1.rar 模拟CMOS集成电路设计.part2.rar 模拟CMOS集成电路设计.part3.rar 模拟CMOS集成电路设计.part4.rar 模拟CMOS集成电路设计.part5.rar

《模拟集成电路设计原理》期末考试

1 《模拟集成电路设计原理》期末考试 一.填空题(每空1分,共14分) 1、与其它类型的晶体管相比,MOS器件的尺寸很容易按____比例____缩小,CMOS电路被证明具有_较低__的制造成本。 2、放大应用时,通常使MOS管工作在_ 饱和_区,电流受栅源过驱动电压控制,我们定义_跨导_来表示电压转换电流的能力。 3、λ为沟长调制效应系数,对于较长的沟道,λ值____较小___(较大、较小)。 4、源跟随器主要应用是起到___电压缓冲器___的作用。 5、共源共栅放大器结构的一个重要特性就是_输出阻抗_很高,因此可以做成___恒定电流源_。 6、 6、由于_尾电流源输出阻抗为有限值_或_电路不完全对称_等因素,共模输入电平的变化会引起差动输出的改变。 7、理想情况下,_电流镜_结构可以精确地复制电流而不受工艺和温度的影响,实际应用中,为了抑制沟长调制效应带来的误差,可以进一步将其改进为__共源共栅电流镜__结构。 8、为方便求解,在一定条件下可用___极点—结点关联_法估算系统的极点频率。 9、与差动对结合使用的有源电流镜结构如下图所示,电路的输入电容Cin为__ CF(1-A) __。 10、λ为沟长调制效应系数,λ值与沟道长度成___反比__(正比、反比)。 二.名词解释(每题3分,共15分) 11、1、阱 解:在CMOS工艺中,PMOS管与NMOS管必须做在同一衬底上,其中某一类器件要做在一个“局部衬底”上,这块与衬底掺杂类型相反的“局部衬底”叫做阱。 2、亚阈值导电效应 解:实际上,VGS=VTH时,一个“弱”的反型层仍然存在,并有一些源漏电流,甚至当VGS

模拟集成电路设计原理_试题库1

《模拟集成电路设计原理》试卷(答题卷)(1) 一、填空题(共30分,每空格1分) 1. MOSFET 是一个四端器件,现在大多数的CMOS 工艺中,P 管做在_____中,并且,在大 多数电路中,P 管的衬底与______(高或低)电平相连接,这样连接的原因是使得_________________________________________________。 2. 对增强型NMOS 来说,让其处于饱和时的条件为_______________________________, 增强型PMOS 处于饱和时的条件为__________________________________________。 3. 在两级运放中,通常是用第一级运放实现_____________,用第二级运放实现 _____________。 4. 实际工艺中,本征阈值电压并不适用于电路设计,因此在器件制造过程中,通常通过 向沟道区注入__________来调整阈值电压,其实质是改变氧化层(栅氧)界面附近衬底的_______________。 5. 阈值电压为发生强反型时的栅压,对增强型NMOS 管来说,发生强反型时的条件为 __________________________________________________。 6. 折叠式共源共栅运放与套筒式共源共栅结构相比,输出电压摆幅_______,但这个优点 是以较大的________、较低的_______________、较低的_____________和较高的____________为代价得到的。 7. 对于一个负反馈系统来说,有前馈网络A 和反馈网络β,那么这个系统的开环增益为 _______,闭环增益为________________,环路增益为____________。 8. 对于一个单极点系统来说,单位增益带宽为80MHz ,若现在带宽变为16MHz ,则环路增 益为_________,闭环增益为_______。 9. 为了使系统稳定,零点应处于________平面,并且让极点尽量______。 10. 对单级共源、共漏和共栅放大器来说,dB f 3带宽最小的为__________,原因是由于 _______________的存在,dB f 3带宽最大的为__________。 11. MOSFET 的版图由电路中的器件所要求的_____________和工艺要求的 ________________共同决定。例如,选择适当的W/L 来确定跨导和其它电路参数,而L 的最小值由工艺决定。 12. 对于理想的差动电路来说,电路将只对_______________进行放大,而且完全抑制

实验室常用模拟集成电路

实验室常用模拟集成电路 序号型号名称 M001 2P4M 可控硅 M002 4N35 通用光电耦合器 M003 6N135 数字逻辑隔离 M004 24C01 1K/2K 5V I2C 总线串行EEPROM M005 24LC08B 8K I2C 总线串行EEPROM M006 93C46 1K 串行EEPROM M007 AD574 12-BIT,DAC 转换器 M008 BM2272 遥控译码器 M009 CA3140E 4.5MHz,BiMOS 运算放大器 M010 TLP521 可编程控制AC/DC 输入固态继电器 M011 7805 正5V 三端稳压集成电路 M012 LM7905 负5V 三端稳压集成电路 M013 LA7806 B/W 电视机同步、偏转电路,16PIN M014 7906C 负6V 三端稳压集成电路 M015 7808A 正8V 3 端稳压器,输入35V,功率20.8W M016 7908AC 正8V 3 端稳压器,输入35V,功率12W M017 LM7809 正9V 三端稳压集成电路 M018 ADS7809 正9V 三端稳压集成电路 M019 TA7810S 0.5A,3 端稳压器 M020 TDA7910N 负10V 3 端稳压器,输入-35V,1A,功率12W M021 IRF7811A N-MOSFET,功率场效应管,28V/11.4A/2.5W M022 7812A 正12V 3 端稳压器,输入35V,功率20.8W M023 LM7912 1A 3 端稳压器 M024 AD7813 2.5V-5.5V,400kSPS,8/10-BIT,采样,ADC 转换器M025 LM7815 正15V 三端稳压集成电路 M026 LM7915 负15V1A 3 端稳压器 M027 AD7819 2.7V-5.5V,200KSPS,8-BIT,采样,ADC 转换器 M028 LA7820 彩色电视机同步/偏转电路 M029 L7920C 负20V1A 3 端稳压器 M030 LC7821 模拟开关 M031 LM7824 正24V 三端稳压集成电路 M032 KA7924 负24V1A 3 端稳压器 M033 AD7825 3Vto5V、2MSPS、1/4/8 通道、8BitAD 转换器 M034 PJ7925CZ 负25V1A 3 端稳压器 M035 ADS7826 10/8/12 位取样模拟数字转换器用2.7V 的电源 M036 IRF840 功率场效应管,大功率、高速, 500V/8A/125W M037 ADC0809 8-BIT up 兼容8 通道多路复用器A/D 转换器 M038 ADC0832 2 路,8-BIT 串行输入/输出A/D 转换多路选择 M039 LM324N 四路运算放大器 M040 LM339 低功耗低失调电压四比较器 M041 LM358 低功率双运算放大器

电子科大罗萍模拟集成电路期末试题3

………密………封………线………以………内………答………题………无………效…… 电子科技大学二零零 四 至二零零 五 学年第 二 学期期 中 《微电子电路设计》课程考试题( 120 分钟) 考试形式: 闭卷 考试日期 2005年 5 月 日 1. Identify the source, drain, gate and bulk terminals, and find the current I in the transistors in the following Figure. Assume 2'/25V A K n μ=,V TN =0.75V . (16pts) (problem4.3) Solution: (a) V V V V V V V V V S D D S S G G S 2.0)2.0(0, 5=--=-==-= A V A V V V V L W K I I DS DS TN G n DS μμ2082.022.075.02.51102522' =??? ? ?--=??? ??--== (b) V V V V V V V V V S D D S S G G S 2.0)2.0(0, 2.5)2.0(5=--=-==--=-= A V A V V V V L W K I I DS DS TN G n DS μμ2182.022.075.02.51102522' -=??? ? ?--=??? ??---=-=

………密………封………线………以………内………答………题………无………效…… 2. Design the bias circuit in the following figure to give the Q-point of mA I C 10= and V V EC 3= if the transistor current gain is 75=F β and V V BE 7.0=.What is the Q-point if the current gain of the transistor is actually 40? (15 pts) ( problem 5.62 ) Solution: Ω →Ω=-==Ω→Ω=-===+= =-=+-=183.171333.0)7.03( ,68069110013)310( 13.101075 76 1 ,10)(10k mA V I -V V R mA V R mA mA I I I R I R I I V B EB EC B C C F F F C E C E C B C EC ββα 0)5()(6801800007.05=--+---B C B I I I mA I I A V I B F C B 108.8, 7.202)680(41180007.010===Ω +-= βμ V mA V V EC 35.4680)311.8(10=Ω-= )35.4,11.8(:int V mA po Q -? 3. Find V OH , V OL and the power dissipation (for v o =V OL ) for the logic inverter with the saturated load in the following figure. Assume 0=γ, and 2' /25V A K n μ=,V V TN 1=. (16 pts) (Problem7.12m) Solution: V V V V For TN D D O H 6.216.3,0=-=-==γ

cmos模拟集成电路设计_实验报告

北京邮电大学 实验报告 实验题目:cmos模拟集成电路实验 姓名:何明枢 班级:2013211207 班内序号:19 学号:2013211007 指导老师:韩可 日期:2016 年 1 月16 日星期六

北京邮电大学电子工程学院2013211207班何明枢CMOS模拟集成电路与设计实验报告 目录 实验一:共源级放大器性能分析 (1) 一、实验目的 (1) 二、实验内容 (1) 三、实验结果 (1) 四、实验结果分析 (3) 实验二:差分放大器设计 (4) 一、实验目的 (4) 二、实验要求 (4) 三、实验原理 (4) 四、实验结果 (5) 五、思考题 (6) 实验三:电流源负载差分放大器设计 (7) 一、实验目的 (7) 二、实验内容 (7) 三、差分放大器的设计方法 (7) 四、实验原理 (7) 五、实验结果 (9) 六、实验分析 (10) 实验五:共源共栅电流镜设计 (11) 一、实验目的 (11) 二、实验题目及要求 (11) 三、实验内容 (11) 四、实验原理 (11) 五、实验结果 (15) 六、电路工作状态分析 (15) 实验六:两级运算放大器设计 (17) 一、实验目的 (17) 二、实验要求 (17) 三、实验内容 (17) 四、实验原理 (21) 五、实验结果 (23) 六、思考题 (24) 七、实验结果分析 (24) 实验总结与体会 (26) 一、实验中遇到的的问题 (26) 二、实验体会 (26) 三、对课程的一些建议 (27)

实验一:共源级放大器性能分析 一、实验目的 1、掌握synopsys软件启动和电路原理图(schematic)设计输入方法; 2、掌握使用synopsys电路仿真软件custom designer对原理图进行电路特性仿真; 3、输入共源级放大器电路并对其进行DC、AC分析,绘制曲线; 4、深入理解共源级放大器的工作原理以及mos管参数的改变对放大器性能的影响 二、实验内容 1、启动synopsys,建立库及Cellview文件。 2、输入共源级放大器电路图。 3、设置仿真环境。 4、仿真并查看仿真结果,绘制曲线。 三、实验结果 1、实验电路图

答案模拟集成电路基础

第五章答案 模拟集成电路基础 1.直接耦合放大电路有哪些主要特点? 优点:1)电路中无电容,便于集成化。 2)可放大缓慢变化的信号。 缺点:1) 各级放大器静态工作点相互影响。 ? 2) 输出温度漂移严重。 2.集成运算放大器的内部电路由哪几部分组成?各部分的作用是什么? 集成运算放大器的内部电路通常都由输入级、中间级、输出级及偏置电路组成。 差分输入级提供了与输出端成同相和反相关系的两个输入端。差分电路有很好的对称特性,可以提高整个电路抑制零漂的能力和其他方面的性能。 中间级主要是提供足够高的电压增益,多由一级或多级共射(共源)放大电路组成。 输出级主要是向负载提供足够的功率,属于功率放大。 偏置电路是为各级放大电路建立合适的静态工作点,它常采用各种形式的电流源电路,为各级提供小而稳定的偏置电流。 3.简述镜像电流源的工作原理及其优缺点。 CC BE o REF V V I I R -≈= ,当电源V CC 和R 确定后,I REF 就确定了,不管T 2集电极支路中的负 载R L 如何,I o 总是等于I REF ,二者关系像一面镜子,所以称电路为镜像电流源。 这种电流源的优点是结构简单,两三极管的V BE 有一定的相互温度补偿作用。但是,它也存在以下不足之处: ① 受电源的影响大。当V CC 变化时,I C2也同样随之变化。因此,这种电流源不适用于电源电压大幅度变动的场合。 ② 镜像电流源电路适用于较大工作电流(毫安数量级)的场合。

③ 由于恒流特性不够理想,三极管c 、e 极间电压变化时,i c 也会作相应的变化,即电流源的输出电阻r o 还不够大。 4.简述微电流源的工作原理及其特点。 V BE1?V BE2=?V BE =I E2 R e ≈I C2 R e , 因此,即使I C1比较大,但由于R e 的存在,将使输出电流I C2<I C1,即在R 不太大的情况下,也能获得微小输出电流。 与镜像电流源相比,微电流源具有以下特点: ①一般?V BE 很小(约几十毫伏),因而采用不大的R e 即可获得较小的输出电流I C2(微安数量级),因而称为微电流源。 ② 当电流源电压V CC 变化时,虽然I REF 与I C2也要作相应的变化,但由于R e 的作用,使V BE2<< V BE1,以至T 2的V BE2的值很小,工作在输入特性的弯曲部分,使I C2的变化远小于I REF 的变化,故提高了恒流源对电源变化的稳定性。 ③ 由于R e 引入电流负反馈,不仅提高了电路输出电流的稳定性,同时也提高了T 2的集电极输出电阻,使它更接近于理想的恒流源。 5.电流源的主要作用是什么? 1).电流源提供稳定的输出电流,可以作直流偏置电路 2).电流源直流等效电阻小,交流等效电阻大,可作有源负载 6.精密电流源电路如题图5-1所示,三个三极管的参数完全对称,电流放大系数均为β,V BE =0.7V , V CC =15V 。(1)证明:2C2REF 22I I ββ ββ+=++;(2)当β值很大时,为使输出电流I o 为30μA ,电阻R 应 为多大? (1)由以下式子得证:

模拟集成电路复习题1

1. 选择题(每题2分,共30分) 1. 下列关于双极型模拟集成电路隔离区划分原则中不正确的说法 是( ) A.NPN管V C相同时, 可以放在同一隔离区 B. NPN管V C和PNP管的V E相同时, 可以放在同一隔离区 C. MOS电容需要单独一个隔离区 D. 硼扩散电阻原则上可以放在同一隔离区 2. 在版图设计中, 设计规则检查称为() A. EXTRACT B. ERC C. DRC D. LVS 3. 差分对中, 不影响其共模抑制比的因素为( ) A.差分管的对称性 B. 电流源的交流阻抗 C. 输入电压幅度 D. 电阻R C1和R C2的对称性 4. 在PMOS中, 衬底上加上正电压偏置, 会使阈值电压( ) A. 增大 B 不变 C 减小 D 可大可小 5. 随着微电子工艺水平提高, 特征尺寸不断减小, 这时电路的工作电 压会() A不断提高 B. 不变 C. 可大可小 D. 不断降低 6. 下列()技术指标不能描述集成电路工艺水平? A.集成度 B.特征尺寸 C. 芯片面积 D. 输入阻抗 7. CMOS推挽放大器NMOS管和PMOS管分别工作于( ). A . NMOS管工作于截止区和线性区; PMOS管工作于截止区和线性区

B. NMOS管工作于饱和区和线性区; PMOS管工作于饱和区和线性区 C. NMOS管工作于饱和区; PMOS管工作于饱和区 D. NMOS管工作于饱和区和线性区; PMOS管工作于截止区和线性区 8. CMOS放大器的电压增益( ) E/E, E/D放大器.(所用器件相同情 况下) A. 高于 B.等于 C. 小于 D. 可能高也可能低 9. 对于电流镜的要求, 那种说法正确( ) A. 输出阻抗高 B输出阻抗低 C交流输出阻抗高 D直流输出阻抗高 10. Cascode电流镜的最小输出电压V MIN(out)的值为( ) A.V ON+V TN B.2(V ON+V TN) C. 2V ON+V TN D. V ON+2V TN 11. 正偏二级管具有( )温度特性. A . 零 B. 负 C. 正 D. 可正可负 12. 差分放大器差模电压增益与( )有关 A. 双端输入还是单端输出; B. 双端输出还是单端输出 C. 双端输入还是单端输入 D. 与输入输出形式无关 13. 在模拟和数字混合电路中, 关于电源和地线的说法正确的是( ) A.模拟和数字部分可共用地线, 不能共用电源线 B.模拟和数字部分不能共用地线, 不能共用电源线 C.模拟和数字部分不能共用地线, 能共用电源线 D.模拟和数字部分能共用地线, 也能共用电源线

模拟集成电路测试

模拟集成电路测试技术 20092123 王天亮 模拟集成电路产品测试分别在生产中的两个阶段进行,既在芯片封装前和封装后,中测的目标是挑选出合格的芯片,送去封装。之所以进行两端测试,是因为封装和测试比其他生产工业工序更为费时,并且经济消耗也很大。只能选择合格芯片进行封装和测试将提高封装后合格器件的比例。成测还是必需的,因为扯了测试要求的因素,在封装过程中还将有可能导入新的故障。 方法:数字集成电路是由故障模型驱动的,而模拟集成电路测试则基本上规范驱动,这是两种电路测试方法学上的重要区别。数字集成电路测试方法基于故障类型,最简单的是固定“0”和固定“1”故障,其失效机理是一个电路的端点固定为逻辑0和1。根据这个故障假设,通过模拟产生测试输入向量和输出响应向量集,并给出故障覆盖率。如果一个测试向量集能使故障电路的模拟输出与无故障电路的输出不同,则认为该测试向量集能检测该故障。这样就可以在正式生产以前,在设计阶段就可以通过模拟产生随后用于生产测试的测试向量,当然它同样可用于可测试分析。特别是,若为了达到一定的故障覆盖率所需测试向量集很长时,可在正式生产前重新进行设计,这样既可以减少测试集长度又能保证必要的故障覆盖。总之,数字集成电路测试领域是一个开发较好,较系统,技术成熟的领域。 而模拟集成电路上没有被普遍接受故障类型,因此到目前为止,模拟集成电路测试认识规范驱动的,即在产品和成测阶段,测试依据的是电路规范。以运算放大器为例,比如其主要规范是; DC增益>=80dB; 4kHz 的总谐波失真<=0.002%; 1MHz 的总谐波失真<=0.1%; 建立时间<=200ns; 功耗<=3mw。 最一般的方法就是按上述规范进行测试并将合格芯片拿去封装。然后进行中测,中测有些技术问题,比如探针寄生参数影响动态参数测试,所以常常只选择直流电压和电流进行测量。为了使之选择直流参数测试的方法有更好的效果,可以采用统计优化技术,其基本点是优化测试容限的分配。对模拟集成电路,规范所规定的行为时一个完整的范围。比如输入信息范围,频率范围等,测试时一般只选择其中一个子集,以放大器为例,可以提出,比如:为了测量向量电路的总谐波失真,仅选择4KHz和1MHz进行测量,是不是足够充分。 用阶跃输入响应电路的建立时间能否正确的表征其响应特性。 当电路工作电压或环境温度发生变化时,能确保正常工作吗? 集成电路测试技术是集成电路产业链中必不可少的一个重要环节,在SoC时代,虽然模拟电路所占比例越来越少,但无论其设计、工艺和测试都逐渐变成整个系统最难的环节。由于其对应工作范围几乎为全电流范围,所以需要一些特殊的方法进行测试,这就进一步提高了对测试设备的要求。在国内,这些技术都还处于发展阶段,与国外同类A TE产品具有

专升本《CMOS模拟集成电路分析与设计》_试卷_答案【精品文档】

专升本《CMOS模拟集成电路分析与设计》 一、(共75题,共150分) 1. Gordon Moore在1965年预言:每个芯片上晶体管的数目将每()个月翻一番(2分) A.12 B.18 C.20 D.24 .标准答案:B 2. MOS 管的小信号输出电阻是由MOS管的()效应产生的。(2分) A.体 B.衬偏 C.沟长调制 D.亚阈值导通 .标准答案:C 3. 在CMOS模拟集成电路设计中,我们一般让MOS管工作在()区。(2分) A.亚阈值区 B.深三极管区 C.三极管区 D.饱和区 .标准答案:D 4. MOS管一旦出现()现象,此时的MOS管将进入饱和区。(2分) A.夹断 B.反型 C.导电 D.耗尽 .标准答案:A 5. ()表征了MOS器件的灵敏度。(2分) A. B. C. D. .标准答案:C 6. Cascode放大器中两个相同的NMOS管具有不相同的()。(2分) A. B. C. D. .标准答案:B 7. 基本差分对电路中对共模增益影响最显著的因素是()。(2分) A.尾电流源的小信号输出阻抗为有限值 B.负载不匹配 C.输入MOS不匹配 D.电路制造中的误差 .标准答案:C 8. 下列电路不能能使用半边电路法计算差模增益()。(2分) A.二极管负载差分放大器 B.电流源负载差分放大器 C.有源电流镜差分放大器 D.Cascode负载Casocde差分放大器 .标准答案:C 9. 镜像电流源一般要求相同的()。(2分) A.制造工艺 B.器件宽长比 C.器件宽度W D.器件长度L .标准答案:D 10. 某一恒流源电流镜如图所示。忽略M3的体效应。要使和严格相等, 应取为()。 (2分) A. B. C. D. .标准答案:A 11. 选择题:下列结构中密勒效应最大的是()。(2分) A.共源级放大器 B.源级跟随器 C.共栅级放大器 D.共源共栅级放大器 .标准答案:A 12. 下图中,其中电压放大器的增益为-A,假定该放大器为理想放大器。请计算该电路的等效输入电阻为()。 (2分) A.

模拟CMOS集成电路复习题库及答案

模拟CMOS集成电路期末复习题库及答案 整理人:李明1.MOSFET跨导g m是如何定义的。在不考虑沟道长度调制时,写出MOSFET在饱和区的g m与 V GS?V TH、√I D和1V GS?V TH的关系表示式。画出它们各自的变化曲线。 2.MOSFET的跨导g m是如何定义的。在考虑沟道长度调制时,写出MOSFET在饱和区的g m与 V GS?V TH、√I D和1V GS?V TH的关系表示式。画出它们各自的变化曲线。 解:MOSFET跨导g m的定义:由于MOSFET工作再饱和区时,其电流受栅源过驱动电压控制,所以我们可以定义一个性能系数来表示电压转换电流的能力。更准确地说,由于在处理信号的过程中,我们要考虑电压和电流的变化,因此我们把这个性能系数定义为漏电流的变化量除以栅源电压的变化量。我们称之为“跨导”,并用g m来表示,其数值表示为: 在不考虑沟道长度调制时: 在考虑沟道长度调制时:

3.画出考虑体效应和沟道长度调制效应后的MOSFET小信号等效电路。写出r o和g mb的定义,并由此定义推出r o和g mb表示式。 解:

4.画出由NMOS和PMOS二极管作负载的MOSFET共源级电路图。对其中NMOS二极管负载共源级电路,推出忽略沟道长度调制效应后的增益表示式,分析说明器件尺寸和偏置电流对增益的影响。对PMOS二极管负载的共源级电路,对其增益表示式作出与上同样的分析。

5.画出MOS共源共栅级电路的电路图和其对应的小信号等效电路图。并推出此共源共栅级电路的电压增益和输出电阻表示式。 解:

6.画出带源极负反馈电阻的以电阻作负载的MOS共源级电路的电路图和其对应的小信号等效电路图。写出此电路的等效跨导定义式,并由此推出在不考虑沟道长度调制和体效应情况下的小信号电压增益表示式。画出其漏电流和跨导随V in的变化曲线图。 7.画出带源极负反馈电阻的以电阻作负载的MOS共源级电路的电路图和其对应的小信号 等效电路图。写出此电路的等效跨导定义式,并由此推出考虑沟道长度调制和体效应情 况下的小信号电压增益表示式。画出其漏电流和跨导随V in的变化曲线图。 解:

模拟CMOS集成电路设计实验指导手册

目录 第一部分.前言 第二部分.实验的基础知识 第三部分.实验内容 1.cadence virtuoso schematic进行电路图的绘制2.cadence virtuoso analog environment电路性能模拟3.cadence virtuoso layout editor进行版图设计4.cadence virtuoso DRC Extract LVS以及后仿真等。第四部分.附件 1.Cadence schematic simple tutorial 2.cadence virtuoso layout editor tutorial 3.SMIC0.18um library

第一部分.前言 本实验为微电子系专业选修课程《模拟CMOS集成电路设计》的配套实验。本实验围绕如何实现一个给定性能参数要求的简单差分运算放大器而展开。 通过该实验,使得学生能够建立模拟集成电路设计的基本概念,了解设计的基本方法,熟悉模拟CMOS集成电路设计的典型流程,了解在每一个流程中所应用的EDA工具,并能较熟练地使用每个流程对应的设计工具。通过让学生自己分析每个流程中所出现的问题,把课程所学知识联系实际,从而增强学生分析问题、解决问题的能力。 本实验的内容以教材一至十章内容为基础,因此,该实验适合在开课学期的后半部分时间开展。 本实验讲义内容安排如下,首先是前言,其次是基础知识,接下来是实际实验内容,具体分成四个过程,最后是附录。建议在实际实验开始之前依次浏览三个附件文档。

第二部分.实验的基础知识 该实验内容所涉及的基础知识包括两部分:电路方面、流程方面和EDA设计工具使用方面。 1.电路有关的基础知识。 该实验是围绕如何实现基于SMIC0.18um工艺下,一个给定性能参数要求的简单差分运算放大器而展开,因此,以电流镜做负载的基本五管差分运算放大器的性能分析是该实验的理论基础。具体内容在讲义以及课件相关章节中有详细介绍。以下用一张图简单重述该电路的有关性能与各元件参数之间的关系分析结论。 相关的设计公式如下:

模拟集成电路设计试卷

1、与其它类型的晶体管相比,MOS 器件的尺寸很容易按____比例____缩小,CMOS 电路被证明具有_较低__的制造成本。 2、 放大应用时,通常使MOS 管工作在_ 饱和_区,电流受栅源过驱动电压控制,我们定义_跨导_来表示电压转换电流的能力。 3、λ为沟长调制效应系数,对于较长的沟道,λ值____较小___(较大、较小)。 4、源跟随器主要应用是起到___电压缓冲器___的作用。 5、共源共栅放大器结构的一个重要特性就是_输出阻抗_很高,因此可以做成___恒定电流源_。 6、由于_尾电流源输出阻抗为有限值_或_电路不完全对称_等因素,共模输入电平的变化会引起差动输出的改变。 7、理想情况下,_电流镜_结构可以精确地复制电流而不受工艺和温度的影响,实际应用中,为了抑制沟长调制效应带来的误差,可以进一步将其改进为__共源共栅电流镜__结构。 8、为方便求解,在一定条件下可用___极点—结点关联_法估算系统的极点频率。 9、与差动对结合使用的有源电流镜结构如下图所示,电路的输入电容C in 为__ C F (1-A )__。 10、λ为沟长调制效应系数,λ值与沟道长度成___反比__(正比、反比)。 二.名词解释(每题3分,共15分) 1、阱 解:在CMOS 工艺中,PMOS 管与NMOS 管必须做在同一衬底上,其中某一类器件要做在一个“局部衬底”上,这块与衬底掺杂类型相反的“局部衬底”叫做阱。 2、亚阈值导电效应 解:实际上,V GS =V TH 时,一个“弱”的反型层仍然存在,并有一些源漏电流,甚至当V GS

相关文档
相关文档 最新文档