文档库 最新最全的文档下载
当前位置:文档库 › 数字电路实验二--译码器实验报告深圳大学--郭治民

数字电路实验二--译码器实验报告深圳大学--郭治民

数字电路实验二--译码器实验报告深圳大学--郭治民
数字电路实验二--译码器实验报告深圳大学--郭治民

深圳大学实验报告实验课程名称:数字电路与逻辑设计

实验项目名称:译码器

学院:计算机与软件学院

专业:计算机科学与技术

报告人:郭治民学号:2011150117 班级: 3 同组人:姜峰

指导教师:李琰

实验时间:2012-10-23

实验报告提交时间:2012-11-05

教务处制

实验报告包含内容

一、实验目的与要求

1.了解和正确使用MSI组合逻辑部件;

2.掌握一般组合逻辑电路的特点及分析、设计方法;

3. 学会对所设计的电路进行静态功能测试的方法;

4. 观察组合逻辑电路的竞争冒险现象。

二、实验说明

译码器是组合逻辑电路的一部分。所谓译码就是不代码的特定含义“翻译”出来的过程,而实现译码操作的电路称为译码器。译码器分成三类:

1.二进制译码器:把二进制代码的各种状态,按照其原意翻译成对应输出信号的电路。如中规模2线—4线译码器74LS139,3线—8线译码器74LS138等。

2.二—十进制译码器:把输入BCC码的十个代码译成十个高、低电平信号。

3.字符显示译码器:把数字、文字和符号的二进制编码翻译成人们习惯的形式并直观地显示出来的电路,如共阴极数码管译码驱动的74LS48(74LS248),共阳极数码管译码驱动的74LS49(74LS249)等。

三、实验设备

1.RXB-1B数字电路实验箱

2.器件

74LS00 四2输入与非门

74LS20 双4输入与非门

74LS138 3线—8线译码器

四、任务与步骤

任务一:测试3线—8线译码器74LS138逻辑功能

将一片3线—8线译码器74LS138插入RXB-1B数字电路实验箱的IC 空插座中,按图3-15接线。A0、A1、A2、STA、STB、STC端是输入端,分别接至数字电路实验箱的任意6个电平开关。Y7、Y6、Y5、Y4、Y3、Y2、Y1、Y0输出端,分别接至数字电路实验箱的电平显示器的任意8个发光二极管的插孔8号引脚地接至RXB—IB型数字电路实验箱的电源“ ”,16号引脚+5V接至RXB-1B数字电路实验箱的电源“+5V”。按表3-2中输入值设置电平开关状态,观察发光二极管(简称LED)的状态,并将结果填入表中。

根据实验数据归纳出74LS138芯片的功能。

表3-2 3线-8线译码器74LS138功能表

输入输出

使能选择

Y1Y2Y3Y4Y5Y6Y7Y

A

ST C B ST SA + A2 A1 A0

X 1 0 X 1 0 1 0 1 0 1 0 1 0 1 0 1 0 1 0

X X X X X X 0 0 0 0 0 1 0 1 0 0 1 1 1 0 0 1 0 1 1 1 0 1 1 1

1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 0 1 1 1 1 1 1 1 1 0 1 1 1 1 1 1 1 1 0 1 1 1 1 1 1 1 1 0 1 1 1 1 1 1 1 1 0 1 1 1 1 1 1 1 1 0 1 1 1 1 1 1 1 1 0 1 1 1 1 1 1 1 1 0

图3-15 3线-8线译码器74LS138接线图

任务二:用3线—8线译码器74LS138和门电路产生如下多输出逻辑函数

021A A Z =

1. 设计方法提示

按题意把函数Z1、Z2、Z3写成最小项形式,译码器的输出 0Y ,

1Y , 2Y , 3Y ,4Y ,5Y ,6Y ,7Y 是最小项的反函数形式,在附

加与非门的配合下,函数1Z 就可用译码器的输出来表达。 2. 实验方法提示

1 )根据设计方法提示把Z1写成用译码器输出0Y ~7Y 表示的函数表达式;

2 ) 根据函数表达式画出电路接线图并接好线,其中输入变量A 2、A 1、A 0接至数字电路实验箱的任意3个电平开关的插孔,输出函数Z 1、Z 2和Z 3分别接至数字电路实验箱电平显示器的任意3个LED 。

3 )自行设计的表格,记录所设计的电路的真值表。

4 )通过真值表推出函数表达式,验证所设计电路的正确性。

五、 实验过程及内容

任务一:测试3线—8线译码器74LS138逻辑功能

表3-2 3线-8线译码器74LS138功能表

输入

输出

使能

选择

0Y 1

Y 2Y 3Y 4Y 5Y 6Y 7Y

A ST C

B ST SA A2 A1 A0

X 1 0 X 1 0 1 0 1 0 1 0 1 0 1 0 1 0 1 0

X X X X X X 0 0 0 0 0 1 0 1 0 0 1 1 1 0 0 1 0 1 1 1 0 1 1 1

1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 0 1 1 1 1 1 1 1 1 0 1 1 1 1 1 1 1 1 0 1 1 1 1 1 1 1 1 0 1 1 1 1 1 1 1 1 0 1 1 1 1 1 1 1 1 0 1 1 1 1 1 1 1 1 0 1 1 1 1 1 1 1 1 0

实验结果分析:

由三位二进制译码器真值表(表1)得实验中3线-8线译码器74LS138实现了逻辑功能。

表1 三位二进制译码器真值表

输入

输出

1S 32S S + A2 A1 A0 0Y 1

Y 2Y 3Y 4Y 5Y 6Y 7Y

0 X X 1 1 0 1 0 1 0 1 0 1 0 1 0 1 0 1 0

X X X X X X 0 0 0 0 0 1 0 1 0 0 1 1 1 0 0 1 0 1 1 1 0 1 1 1

1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 0 1 1 1 1 1 1 1 1 0 1 1 1 1 1 1 1 1 0 1 1 1 1 1 1 1 1 0 1 1 1 1 1 1 1 1 0 1 1 1 1 1 1 1 1 0 1 1 1 1 1 1 1 1 0 1 1 1 1 1 1 1 1 0

根据实验数据归纳出74LS138芯片的功能为:

输入端高电平有效,输出端低电平有效。74LS138有三个使能端A ST 、

B ST 、

C ST ,其中,只有当A ST =1且C B ST SA + =0时,译码器工作,否则,译码

功能被禁止。

当A ST =1,C B ST SA + =0时,输出逻辑表达式为:

000120120M m A A A A A A Y ===++= 101201211M m A A A A A A Y ===++= 220120122M m A A A A A A Y ===++=

330102321M m A A A A A A Y ===++=

440120124M m A A A A A A Y ===++= 550120125M m A A A A A A Y ===++= 660120126M m A A A A A A Y ===++=

770201271M m A A A A A A Y ===++=

任务二:用3线—8线译码器74LS138和门电路产生如下多输出逻辑函数 实验结果: 021A A Z = 012)(1A A A A += 012012A A A A A A += 57Y Y += 57Y Y += 57Y Y = 57m m =

表2 逻辑电路的真值表

六、 实验结论

实验成立,成功的做到的实验的要求,这次实验,我们发现了对课本嗨不够熟悉,以至于做实验理解起来很慢,下次一定需要注意。

输入

输出

使能

选择

1

Z

A ST C

B ST SA A2 A1 A0

X 1 0 X 1 0 1 0 1 0 1 0 1 0 1 0 1 0 1 0

X X X X X X 0 0 0 0 0 1 0 1 0 0 1 1 1 0 0 1 0 1 1 1 0 1 1 1

0 0 0 0 0 0 0 1 0 1

指导教师批阅意见:

成绩评定:

指导教师签字:

年月日

备注:

注:1、报告内的项目或内容设置,可根据实际情况加以调整和补充。

2、教师批改学生实验报告时间应在学生提交实验报告时间后10日内。

数字钟设计报告——数字电路实验报告

. 数字钟设计实验报告 专业:通信工程 :王婧 班级:111041B 学号:111041226 .

数字钟的设计 目录 一、前言 (3) 二、设计目的 (3) 三、设计任务 (3) 四、设计方案 (3) 五、数字钟电路设计原理 (4) (一)设计步骤 (4) (二)数字钟的构成 (4) (三)数字钟的工作原理 (5) 六、总结 (9) 1

一、前言 此次实验是第一次做EDA实验,在学习使用软硬件的过程中,自然遇到很多不懂的问题,在老师的指导和同学们的相互帮助下,我终于解决了实验过程遇到的很多难题,成功的完成了实验,实验结果和预期的结果也是一致的,在这次实验中,我学会了如何使用Quartus II软件,如何分层设计点路,如何对实验程序进行编译和仿真和对程序进行硬件测试。明白了一定要学会看开发板资料以清楚如何给程序的输入输出信号配置管脚。这次实验为我今后对 EDA的进一步学习奠定了更好的理论基础和应用基础。 通过本次实验对数电知识有了更深入的了解,将其运用到了实际中来,明白了学习电子技术基础的意义,也达到了其培养的目的。也明白了一个道理:成功就是在不断摸索中前进实现的,遇到问题我们不能灰心、烦躁,甚至放弃,而要静下心来仔细思考,分部检查,找出最终的原因进行改正,这样才会有进步,才会一步步向自己的目标靠近,才会取得自己所要追求的成功。 2

二、设计目的 1.掌握数字钟的设计方法。 2熟悉集成电路的使用方法。 3通过实训学会数字系统的设计方法; 4通过实训学习元器件的选择及集成电路手册查询方法; 5通过实训掌握电子电路调试及故障排除方法; 6熟悉数字实验箱的使用方法。 三、设计任务 设计一个可以显示星期、时、分、秒的数字钟。 要求: 1、24小时为一个计数周期; 2、具有整点报时功能; 3、定时闹铃(未完成) 四、设计方案 一个基本的数字钟电路主要由译码显示器、“时”,“分”,“秒”计数器和定时器组成。干电路系统由秒信号发生器、“时、 3

深圳大学实验报告

深圳大学实验报告 课程名称:连续式与分页式主存管理的模拟实现 实验项目名称:进程的控制 学院:信息工程学院(软件学院) 专业:软件工程 指导教师:白鉴聪 报告人:罗城龙学号:20XX151095班级:软件1班 实验时间:20XX/6/20 实验报告提交时间:20XX/6/20 教务处制 实验目的与要求: 模拟在连续分配与分页管理两种方式下,主存空间的分配与回收,帮助学生加深了解存储器管理的工作过程。

方法、步骤: 1、根据例程,尝试采用首次适应算法、循环首次适应算法、最佳适应算法其中的一种 或多种算法实现3.2.1的动态分区分配。算法思想请参考课本P108-109的分区分配算法。 2、根据例程,尝试实现3.2.1的分区回收功能。 3、根据例程,尝试实现3.2.2的分页系统功能 4、至少完成上述三项实验内容中的一个。 5、自行设定内存总空间,大小单位为KB,分页管理需要设定每个页的大小。 6、随机设置当前内存分配状态。 7、自行设计作业队列,队列中至少要有5个作业,设定各个作业空间大小,大小要适 中。 8、输出结果要尽量详细清晰,如果输出内容比较多,可以考虑把输出结果保存到文件 中,通过文件来查看。 9、程序代码要尽量加入注释,提高程序的清晰度与可读性。 10、在实验报告中,一方面可以对实验结果进行分析,一方面可以对两种分配方式 进行比较,分析它们的优劣。

实验过程及内容: 循环首次适应算法: 关键源代码: 1.MEM * temp=NULL;//声明一个MEM的指针,用于保留循环的开始位置2.void init() //在初始化函数init()最后加一个语句,用于 { //指针temp的初始化,因为它开始也要指向空 ……… //链的起始 temp = empty; } 3.实现关键函数 void mem_alloc_loop(MEM *pjob) { MEM * pr; //循环首次适应算法 pr = temp; while (pr != NULL) { if (pr->length > pjob->length) { pjob->head = pr->head; //直接把作业数据块插入已分配队列 alloc_insert(pjob);//插入作业数据块到已分配队列 //产生碎片,需要修改被分配空闲区的参数 //产生小碎片,pr指向它 pr->head = pr->head + pjob->length; pr->length = pr->length - pjob->length; temp=pr->link;//指向分配后的下一个指针 printf("!!!!!%s分配成功!!!!!\n", pjob->name); break; } if (pr->length == pjob->length) //刚好满足 { pjob->head = pr->head; //直接把作业数据块插入已分配队列 temp=pr->link;//指向分配后的下一个指针 alloc_insert(pjob); empty_remove(pr); //从空闲队列中删除该空闲区 printf("!!!!!%s分配成功!!!!!\n", pjob->name); break; } //空闲块太小,则指向下一个空闲块。 if (pr->length < pjob->length) { pr = pr->link; } } if(pr==NULL) { pr=empty;

数字逻辑电路实验报告

数字逻辑电路 实验报告 指导老师: 班级: 学号: 姓名: 时间: 第一次试验一、实验名称:组合逻辑电路设计

二、试验目的: 1、掌握组合逻辑电路的功能测试。 2、验证半加器和全加器的逻辑功能。 3、、学会二进制数的运算规律。 三、试验所用的器件和组件: 二输入四“与非”门组件3片,型号74LS00 四输入二“与非”门组件1片,型号74LS20 二输入四“异或”门组件1片,型号74LS86 四、实验设计方案及逻辑图: 1、设计一位全加/全减法器,如图所示: 电路做加法还是做减法是由M决定的,当M=0时做加法运算,当M=1时做减法运算。当作为全加法器时输入信号A、B和Cin分别为加数、被加数和低位来的进位,S 为和数,Co为向上的进位;当作为全减法时输入信号A、B和Cin分别为被减数,减数和低位来的借位,S为差,Co为向上位的借位。 (1)输入/输出观察表如下: (2)求逻辑函数的最简表达式 函数S的卡诺图如下:函数Co的卡诺如下: 化简后函数S的最简表达式为: Co的最简表达式为:

(3)逻辑电路图如下所示: 2、舍入与检测电路的设计: 用所给定的集成电路组件设计一个多输出逻辑电路,该电路的输入为8421码,F1为“四舍五入”输出信号,F2为奇偶检测输出信号。当电路检测到输入的代码大于或等于5是,电路的输出F1=1;其他情况F1=0。当输入代码中含1的个数为奇数时,电路的输出F2=1,其他情况F2=0。该电路的框图如图所示: (1)输入/输出观察表如下: B8 B4 B2 B1 F2 F1 0 0 0 0 0 0 0 0 0 1 1 0 0 0 1 0 1 0 0 0 1 1 0 0 0 1 0 0 1 0 0 1 0 1 0 1 0 1 1 0 0 1 0 1 1 1 1 1 1 0 0 0 1 1 1 0 0 1 0 1 1 0 1 0 0 1 1 0 1 1 1 1 1 1 0 0 0 1 1 1 0 1 1 1

数字电路实验报告

数字电路实验报告 姓名:张珂 班级:10级8班 学号:2010302540224

实验一:组合逻辑电路分析一.实验用集成电路引脚图 1.74LS00集成电路 2.74LS20集成电路 二、实验内容 1、组合逻辑电路分析 逻辑原理图如下:

U1A 74LS00N U2B 74LS00N U3C 74LS00N X1 2.5 V J1 Key = Space J2 Key = Space J3 Key = Space J4 Key = Space VCC 5V GND 图1.1组合逻辑电路分析 电路图说明:ABCD 按逻辑开关“1”表示高电平,“0”表示低电平; 逻辑指示灯:灯亮表示“1”,灯不亮表示“0”。 真值表如下: A B C D Y 0 0 0 0 0 0 0 0 1 0 0 0 1 0 0 0 0 1 1 1 0 1 0 0 0 0 1 0 1 0 0 1 1 0 0 0 1 1 1 1 1 0 0 0 0 1 0 0 1 0 1 0 1 0 0 1 0 1 1 1 1 1 0 0 1 1 1 0 1 1 1 1 1 0 1 1 1 1 1 1 表1.1 组合逻辑电路分析真值表 实验分析: 由实验逻辑电路图可知:输出X1=AB CD =AB+CD ,同样,由真值表也能推出此方程,说明此逻辑电路具有与或功能。 2、密码锁问题: 密码锁的开锁条件是:拨对密码,钥匙插入锁眼将电源接通,当两个条件同时满足时,开锁信号为“1”,将锁打开;否则,报警信号为“1”,则接通警铃。

试分析下图中密码锁的密码ABCD 是什么? 密码锁逻辑原理图如下: U1A 74LS00N U2B 74LS00N U3C 74LS00N U4D 74LS00N U5D 74LS00N U6A 74LS00N U7A 74LS00N U8A 74LS20D GND VCC 5V J1 Key = Space J2 Key = Space J3 Key = Space J4 Key = Space VCC 5V X1 2.5 V X2 2.5 V 图 2 密码锁电路分析 实验真值表记录如下: 实验真值表 A B C D X1 X2 0 0 0 0 0 1 0 0 0 1 0 1 0 0 1 0 0 1 0 0 1 1 0 1 0 1 0 0 0 1 0 1 0 1 0 1 0 1 1 0 0 1 0 1 1 1 0 1 1 0 0 0 0 1 1 0 0 1 1 0 1 0 1 0 0 1 1 0 1 1 0 1 1 1 0 0 0 1 1 1 0 1 0 1 1 1 1 0 0 1 1 1 1 1 0 1 表1.2 密码锁电路分析真值表 实验分析: 由真值表(表1.2)可知:当ABCD 为1001时,灯X1亮,灯X2灭;其他情况下,灯X1灭,灯X2亮。由此可见,该密码锁的密码ABCD 为1001.因而,可以得到:X1=ABCD ,X2=1X 。

深圳大学计导实验报告 网络基本操作

深圳大学实验报告 课程名称: 项目名称: 学院:专业: 报告人:学号:班级: 同组人: 指导教师: 实验时间:提交时间: 声明: 本次实验内容由报告人和同组人独立完成,所有涉及到他人的工作均已说明。报告人和同组人均同意教师及学校为教学活动而引用本实验的内容,且无需事先征得同意和特别说明。 教务处制

一、实验目的 1) 掌握浏览器的基本使用方法。 2) 掌握收发电子邮件的方法。 3) 掌握在网上查找并下载软件的方法。 4) 掌握网络即时通讯软件和BBS的使用方法。 二、实验说明和实验环境 1) 硬件环境:微型计算机,并已连接到Internet。 2) 软件环境:Windows XP中文版、Internet Explorer(简称IE)浏览器程序、Outlook Express 电子邮件管理程序、FTP客户端软件Leapftp、网络即时通信软件Tencent QQ。 三、实验分析设计 (实验原理和设计) 四、主要实验过程(或核心代码说明) (1) 浏览器的基本使用 浏览器的基本使用步骤如下。 1)启动浏览器。在Windows桌面或快速启动栏中,单击图标,启动应用程序IE 6.0。 2) 输入网页地址(URL)。在IE窗口的地址栏输入要浏览页面的统一资源定位器(Uniform Resource Locator,URL),按下Enter键,观察IE窗口右上角的IE标志,等待出现浏览页面的内容。例如,在地址栏输入深圳大学主页的URL(https://www.wendangku.net/doc/a813821260.html,/),IE浏览器将打开深圳大学的主页,如图9-1所示。

图9-1 用IE6.0打开浏览页面 3) 网页浏览。在IE打开的页面中,包含有指向其他页面的超链接。当将鼠标光标移动到具有超链接的文本或图像上时,鼠标指针会变为“”形,单击鼠标左键,将打开该超链接所指向的网页。根据网页的超链接,即可进行网页的浏览。 图9-2 IE浏览器的菜单和工具栏 4) 断开当前连接。IE浏览器的菜单和工具栏如图9-2所示。单击工具栏中的“停止”按钮,中断当前网页的传输。 5) 重新建立连接。在执行步骤4之后,单击工具栏中的“刷新”按钮,将重新开始 被中断的网页的传输。 6) 保存当前网页信息。使用“文件”菜单的“另存为”命令,将当前网页保存到本地计算机。 7) 保存图像或动画。在当前网页中选择一幅图像或动画,单击鼠标右键,从弹出的快捷菜单中选择“图片另存为”,将该图像或动画保存到本地计算机。 8) 将当前网页地址保存到收藏夹。使用“收藏”菜单的“添加到收藏夹”命令,并在“添加到收藏夹”窗口中选中“允许脱机使用”复选框,如图9-3所示,将当前网页放入收藏夹。 若单击“自定义”按钮,即可激活“脱机收藏夹向导”,利用该向导,可设置脱机浏览内容的数量、如何使脱机网页与网络上的最新网页保持同步、以及是否需要用户名和密码等。 图9-3 添加到收藏夹对话框 9) 在已经浏览过的网页之间跳转。通常的方法是单击工具栏中的“后退”按钮 与“前进”按钮,返回到前一页,或回到后一页。也可以单击工具栏中“后退”与“前进”右侧的“ ”形按钮,从弹出的下拉列表中直接选择某个浏览过的网页。 10) 浏览历史记录 单击工具栏中的“历史”按钮,会在IE窗口的左边打开“历史记录”窗口,该窗口列出了最近一段时间以来所有浏览过的页面。可以按日期、访问站点、访问次数查看历史记录,也可以根据指定的关键词对历史记录进行搜索。 11) 主页设置 使用“工具”菜单中的“Internet选项”命令,打开“Internet选项”对话框。单击“常规”属性页,在“主页”的地址栏中,输入一个URL地址(如https://www.wendangku.net/doc/a813821260.html,),单击“确定”按钮,即可以将输入的URL设置为IE的主页,如图9-4所示。 也可以通过单击“使用当前页”按钮,将IE浏览器当前打开的页面作为主页;单击“使

深圳大学大学物理实验c杨氏模量的测量

深圳大学-大学物理实验c-杨氏模量的测量

————————————————————————————————作者: ————————————————————————————————日期:

得分教师签名批改日期深圳大学实验报告 课程名称: 大学物理实验(一) 实验名称: 学院: 指导教师: 报告人:组号: 学号实验地点 实验时间: 年月日 提交时间:

一、实验目的 1. 掌握用拉伸法测定金属丝的杨氏模量; 2. 学会用光杠杆测量长度的微小变化 3. 学会用逐差法处理数据。 二、实验原理 1. 胡克定律和杨氏弹性模量 当固体受外力作用时,它的体积和形状将要发生变化,这种变化,称为形变。物体的形变可分为弹性形变和塑性形变。固体材料的弹性形变又可分为纵向、切变、扭转、弯曲。当外力不太大时,物体的形变与外力成正比,且外力停止作用物体立即恢复原来的形状和体积,这种形变称弹性形变。当外力较大时,物体的形变与外力不成比例,且当外力停止作用后,物体形变不能完全消失,这种形变称为范性形变。范性形变的产生,是由于物体形变而产生的内应力(大小等于单位面积上的作用力)超过了物体的弹性限度(屈服极限)的缘故。如果再继续增大外力,当物体内产生的内应力超过物体的强度极限时,物体便被破坏了。胡克定律:在物体的弹性限度内,胁强于胁变成正比,其比例系数称为杨氏模量(记为E)。在数值上等于产生单位胁变时的胁强。它的单位是与胁强的单位相同。其中:单位面积上所受到的力称为协强,协变是指在外力作用下的相对形变,它反映了物体形变的大小。杨氏模量来描述材料抵抗纵向弹性形变的能力。 胡克定律指出,在弹性限度内,弹性体的应力和应变成正比。设有一根长为L ,横截面积为S 的钢丝,在外力F 作用下伸长了L ?,则 L L E S F ?= (5-1) 式中的比例系数E 称为杨氏模量,单位为N·m -2。设实验中所用钢丝直径为d ,则24 1d s π=, 将此公式代入上式整理以后得 L d FL E ?=2 4π (5-2) 上式表明,对于长度L,直径d 和所加外力F相同的情况下,杨氏模量E大的金属丝的伸长量L ?小。因而,杨氏模量是表征固体材料性质的一个重要的物理量,是工程设计上选用材料时常需涉及的重要参数之一,一般只与材料的性质和温度有关,与外力及物体的几何形状无关。对一定材料而言,E 是一个常数,它仅与材料的结构、化学成分及其加工制造的方法有关。杨氏模量的大小标志了材料的刚性。 为能测出金属丝的杨氏模量 E ,必须准确测出上式中右边各量。其中 L、d 、F 都可用一般方法测得,唯有 ΔL 是一个微小的变化量,用一般量具难以测准,为了测量细钢丝的微小长度变化,实验中使用了光杠杆放大法间接测量。利用光杠杆不仅可以测量微小长度变化,也可测量微小角度变化和形状变化。由于光杠杆放大法具有稳定性好、简单便宜、受环境干扰小等特点,在许多生产和科研领域得到广泛应用。 2、光杠杆和镜尺系统是测量微小长度变化的装置 光杠杆结构如图5-1(a) 所示,它实际上是附有三个尖足的平面镜。三个尖足的边线为一等腰三角形。前两足刀口与平面镜在同一平面内(平面镜俯仰方位可调),后足在前两足刀口的中垂线上。镜尺系统由一把竖立的毫米刻度尺和在尺旁的一个望远镜组成。镜尺系统和光杠杆组成如图5-2(b) 所示的测量系统。

深大实验报告模板

深 圳 大 学 实 验 报 告 课程名称: 实验项目名称: 学院: 专业: 指导教师: 报告人: 学号: 班级: 实验时间: 实验报告提交时间: 教务部制 强看线敷设线盒处检测处电气课与相互过度工作资料试试过了解试高中试技,并且作,并差动保要保护

实验目的与要求: 方法、步骤: 、管路敷设技术通过管线不仅可以解决吊顶层配置不规范高中资料试卷问题,而且可保障各类管路习题到位。在管路敷设过程中,要加强看护关于管路高中资料试卷连接管口处理高中资料试卷弯扁度固定盒位置保护层防腐跨接地线弯曲半径标等,要求技术交底。管线敷设技术中包含线槽、管架等多项方式,为解决高中语文电气课件中管壁薄、接口不严等问题,合理利用管线敷设技术。线缆敷设原则:在分线盒处,当不同电压回路交叉时,应采用金属隔板进行隔开处理;同一线槽内强电回路须同时切断习题电源,线缆敷设完毕,要进行检查和检测处理。、电气课件中调试对全部高中资料试卷电气设备,在安装过程中以及安装结束后进行 高中资料试卷调整试验;通电检查所有设备高中资料试卷相互作用与相互关系,根据生产工艺高中资料试卷要求,对电气设备进行空载与带负荷下高中资料试卷调控试验;对设备进行调整使其在正常工况下与过度工作下都可以正常工作;对于继电保护进行整核对定值,审核与校对图纸,编写复杂设备与装置高中资料试卷调试方案,编写重要设备高中资料试卷试验方案以及系统启动方案;对整套启动过程中高中资料试卷电气设备进行调试工作并且进行过关运行高中资料试卷技术指导。对于调试过程中高中资料试卷技术问题,作为调试人员,需要在事前掌握图纸资料、设备制造厂家出具高中资料试卷试验报告与相关技术资料,并且了解现场设备高中资料试卷布置情况与有关高中资料试卷电气系统接线等情况 ,然后根据规范与规程规定,制定设备调试高中资料试卷方案。 、电气设备调试高中资料试卷技术电力保护装置调试技术,电力保护高中资料试卷配置技术是指机组在进行继电保护高中资料试卷总体配置时,需要在最大限度内来确保机组高中资料试卷安全,并且尽可能地缩小故障高中资料试卷破坏范围,或者对某些异常高中资料试卷工况进行自动处理,尤其要避免错误高中资料试卷保护装置动作,并且拒绝动作,来避免不必要高中资料试卷突然停机。因此,电力高中资料试卷保护装置调试技术,要求电力保护装置做到准确灵活。对于差动保护装置高中资料试卷调试技术是指发电机一变压器组在发生内部故障时,需要进行外部电源高中资料试卷切除从而采用高中资料试卷主要保护装置。

数字电子技术实验报告汇总

《数字电子技术》实验报告 实验序号:01 实验项目名称:门电路逻辑功能及测试 学号姓名专业、班级 实验地点物联网实验室指导教师时间2016.9.19 一、实验目的 1. 熟悉门电路的逻辑功能、逻辑表达式、逻辑符号、等效逻辑图。 2. 掌握数字电路实验箱及示波器的使用方法。 3、学会检测基本门电路的方法。 二、实验仪器及材料 1、仪器设备:双踪示波器、数字万用表、数字电路实验箱 2. 器件: 74LS00 二输入端四与非门2片 74LS20 四输入端双与非门1片 74LS86 二输入端四异或门1片 三、预习要求 1. 预习门电路相应的逻辑表达式。 2. 熟悉所用集成电路的引脚排列及用途。 四、实验内容及步骤 实验前按数字电路实验箱使用说明书先检查电源是否正常,然后选择实验用的集成块芯片插入实验箱中对应的IC座,按自己设计的实验接线图接好连线。注意集成块芯片不能插反。线接好后经实验指导教师检查无误方可通电实验。实验中

1.与非门电路逻辑功能的测试 (1)选用双四输入与非门74LS20一片,插入数字电路实验箱中对应的IC座,按图1.1接线、输入端1、2、4、5、分别接到K1~K4的逻辑开关输出插口,输出端接电平显 图 1.1 示发光二极管D1~D4任意一个。 (2)将逻辑开关按表1.1的状态,分别测输出电压及逻辑状态。 表1.1 输入输出 1(k1) 2(k2) 4(k3) 5(k4) Y 电压值(v) H H H H 0 0 L H H H 1 1 L L H H 1 1 L L L H 1 1 L L L L 1 1 2. 异或门逻辑功能的测试

图 1.2 (1)选二输入四异或门电路74LS86,按图1.2接线,输入端1、2、4、5接逻辑开关(K1~K4),输出端A、B、Y接电平显示发光二极管。 (2)将逻辑开关按表1.2的状态,将结果填入表中。 表1.2 输入输出 1(K1) 2(K2) 4(K35(K4) A B Y 电压(V) L H H H H L L L H H H H L L L H H L L L L L H H 1 1 1 1 1 1 1 1

FPGA一位全加器设计实验报告

题目:1位全加器的设计 一.实验目的 1.熟悉QUARTUSII软件的使用; 2.熟悉实验硬件平台的使用; 3.掌握利用层次结构描述法设计电路。 二.实验原理 由于一位全加器可由两个一位半加器与一个或门构成,首先设计半加器电路,将其打包为半加器模块;然后在顶层调用半加器模块组成全加器电路;最后将全加器电路编译下载到实验箱,其中ain,bin,cin信号可采用实 验箱上SW0,SW1,SW2键作为输入,并将输 入的信号连接到红色LED管 LEDR0,LEDR1,LEDR2上便于观察,sum,cout 信号采用绿色发光二极管LEDG0,LEDG1来 显示。 三.实验步骤 1.在QUARTUSII软件下创建一工程,工程名为full_adder,芯片名为EP2C35F672C6; 2.新建Verilog语言文件,输入如下半加器Verilog语言源程序; module half_adder(a,b,s,co); input a,b; output s,co; wire s,co; assign co=a & b; assign s=a ^ b; Endmodule 3.保存半加器程序为,进行功能仿真、时序仿真,验证设计的正确性。 其初始值、功能仿真波形和时序仿真波形分别如下所示

4.选择菜单File→Create/Update→Create Symbol Files for current file,创建半加器模块; 5.新建一原理图文件,在原理图中调用半加器、或门模块和输入,输出引脚,按照图1所示连接电路。并将输入ain,bin,cin连接到FPGA的输出端,便于观察。完成后另保存full_adder。 电路图如下 6.对设计进行全编译,锁定引脚,然后分别进行功能与时序仿真,验证全加器的逻辑功能。其初始值、功能仿真波形和时序仿真波形分别如下所示

深圳大学 实验报告数字逻辑数据选择器

深圳大学实验报告 课程名称:数字逻辑与数字系统 实验项目名称:数据选择器 学院:计算机与软件学院 专业: 指导教师:雷海军 报告人:林庆遂学号:2011150026 班级:1班实验时间:2012-11-09 实验报告提交时间:2012-11-13 教务处制

一、实验要求 (1)画出所设计的实验电路; (2)自拟表格,并记录静态测试的结果。 二:实验仪器及材料: 1.RXS—1B数字电路实验箱 2.器件 74LS00 四2输入与非门 1片 74LS153 双4选1数据选择器 1片 三、实验任务: 任务一:74LS153的功能测试 将74LS153插入实验系统的IC空插座上。按图接线,把数据输入端1D3、1D2、1D1、1D0,控制输入端A1、A0和使能端1ST接至数字电路实验箱的任 意7个逻辑电平开关,输出1Y接至实验箱电平显示器的任意LED,设计表中的输入状态并将测试结果填入表中。 图1、74LS153功能测试的接线图 任务二:用74LS153设计电机控制电路 某工厂有三个车间和一个自备的电站,站内有两台发电机X和Y。Y的发电能力是X的两倍。如果一个车间开工,启动X就能满足要求;如果两个车间开工,启动Y就能满足;如果三个车间同时开工,则X和Y都应启动。 设计控制发电机X和Y启动逻辑电路。 (1)设计方法提示。设三个车间为A、B、C,开工为“1”,停工为“0”;

发电机X和Y启动为“1”,停止为“0”。可按题意列出A、B、C和X、Y 之间的关系真值表,然后经化简求出X和Y与变量A、B、C的函数表达式。 要求用 74LS153及必要的与非门来实现。 (2)实验方法提示。静态测试方法的输入为固定逻辑电平1和0信号,输入信号的改变由实验者来控制。这时输出状态变化极慢,人眼可观察到。 此方法是最基本数字电路功能测试方法。 先将A、B、C端分别接到实验的任意三个逻辑电平开关,再将输出端X 和Y分别接至实验箱任意两个LED。然后操纵三个逻辑开关(代表车间开工情况)观察两只指示灯的发光情况,最后根据测试结果验证所设计的电路是否满足任务要求。 四、实验结果与数据分析: 任务一: 表1. 74LS153功能表 任务二: 对于X 由表可得:D0=C,D1=C,D2=C,D3=C 对于Y

8位全加器实验报告

实验1 原理图输入设计8位全加器 一、实验目的: 熟悉利用QuartusⅡ的原理图输入方法设计简单组合电路,掌握层次化设计的方法,并通过一个8位全加器的设计把握利用EDA软件进行电子线路设计的详细流程。 二、原理说明: 一个8位全加器可以由8个1位全加器构成,加法器间的进位可以串行方式实现。即将低位加法器的进位输出cout与其相邻的高位加法器的最低进位输入信号cin相接。而一个1位全加器可以按照本章第一节介绍的方法来完成。 三、实验内容: 1:完全按照本章第1节介绍的方法与流程,完成半加器和全加器的设计,包括原理图输入、编译、综合、适配、仿真。 2:建立一个更高的原理图设计层次,利用以上获得的1位全加器构成8位全加器,并完成编译、综合、适配、仿真和硬件测试。 四、实验环境: 计算机、QuartusII软件。 五、实验流程: 实验流程: 根据半加器工作原 理,建立电路并仿 真,并将元件封装。 ↓ 利用半加器构成一位 全加器,建立电路并 仿真,并将元件封 装。 ↓ 利用全加器构成8位全 加器,并完成编译、综 合、适配、仿真。 图1.1 实验流程图

六、实验步骤: 1.根据半加器工作原理建立电路并仿真,并将元件打包。(1)半加器原理图: 图1.2 半加器原理图(2)综合报告: 图1.3 综合报告: (3)功能仿真波形图4: 图1.4 功能仿真波形图

时序仿真波形图: 图1.5 时序仿真波形图 仿真结果分析:sout为和信号,当a=1,b=0或a=0,b=1时,和信号sout为1,否则为0.当a=b=1时,产生进位信号,及cout=1。 (4)时序仿真的延时情况: 图1.6 时序仿真的延时情况 (5)封装元件: 图1.7 元件封装图 2. 利用半加器构成一位全加器,建立电路并仿真,并将元件封装。 (1)全加器原理图如图: 图2.1 全加器原理图

深圳大学计算机导论互联网与网络安全实验报告

深圳大学实验报告课程名称:计算机导论实验 实验项目名称:互联网与网络安全 学院:计算机与软件学院 专业: 指导教师: 报告人:学号:班级: 实验时间:2016. 10.20 实验报告提交时间:2016.12.9 教务处制

实验步骤: 一、浏览器使用,网页下载和保存、搜索引擎使用和信息检索方法。 (一)浏览器使用 浏览器是指可以显示网页服务器或者文件系统的HTML文件(标准通用标记语言的一个应用)内容,并让用户与这些文件交互的一种软件。 它用来显示在万维网或局域网等内的文字、图像及其他信息。这些文字或图像,可以是连接其他网址的超链接,用户可迅速及轻易地浏览各种信息。大部分网页为HTML格式。 一个网页中可以包括多个文档,每个文档都是分别从服务器获取的。大部分的浏览器本身支持除了HTML之外的广泛的格式,例如JPEG、PNG、GIF等图像格式,并且能够扩展支持众多的插件(plug-ins)。另外,许多浏览器还支持其他的URL类型及其相应的协议,如、HTTPS(HTTP协议的加密版本)。HTTP内容类型和URL协议规范允许网页设计者在网页中嵌入图像、动画、视频、声音、流媒体等。 游览器使用: 1.单击【开始】菜单,在弹出的开始菜单中选择【Internet】命令,打开IE浏览器窗口。 2.也可以通过桌面双击IE浏览器的图标来打开IE浏览器、

3.新打开的IE浏览器窗口中不会显示任何内容。需要您指定网站地址才能够访问并显示内 容。 4.打开健康频道页面 在人民网首页的导航栏中单击【健康】超链接文本,打开健康频道页面。

5.打开详细页面 在高血压专题页面中单击某个文章标题,即可查看该标题下的内容。 6.在【健康】频道页面顶部的导航栏中单击【高血压】超链接文本,可以打开高血压专题页 面。 7.在详细页面中可以阅读打开的新闻内容。

4位全加器实验报告.doc

四位全加器 11微电子黄跃1117426021 【实验目的】 采用modelsim集成开发环境,利用verilog硬件描述语言中行为描述模式、结构描述模式或数据流描述模式设计四位进位加法器。 【实验内容】 加法器是数字系统中的基本逻辑器件。多位加法器的构成有两种方式:并行进位和串行进位方式。并行进位加法器设有并行进位产生逻辑,运算速度快;串行进位方式是将全加器级联构成多位加法器。通常,并行加法器比串行级联加法器占用更多的资源,并且随着位数的增加,相同位数的并行加法器比串行加法器的资源占用差距也会越来越大。 实现多位二进制数相加的电路称为加法器,它能解决二进制中1+1=10的功能(当然还有 0+0、0+1、1+0). 【实验原理】 全加器 除本位两个数相加外,还要加上从低位来的进位数,称为全加器。图4为全 加器的方框图。图5全加器原理图。被加数A i 、加数B i 从低位向本位进位C i-1 作 为电路的输入,全加和S i 与向高位的进位C i 作为电路的输出。能实现全加运算 功能的电路称为全加电路。全加器的逻辑功能真值表如表2中所列。 信号输入端信号输出端 A i B i C i S i C i 0 0 0 0 0 0 0 1 1 0 0 1 0 1 0 0 1 1 0 1 1 0 0 1 0 1 0 1 0 1 1 1 0 0 1 1 1 1 1 1

表2 全加器逻辑功能真值表 图4 全加器方框图 图5 全加器原理图 多位全加器连接可以是逐位进位,也可以是超前进位。逐位进位也称串行进位,其逻辑电路简单,但速度也较低。 四位全加器 如图9所示,四位全加器是由半加器和一位全加器组建而成: 图9 四位全加器原理图 【实验步骤】 (1)建立新工程项目: 打开modelsim软件,进入集成开发环境,点击File→New project建立一

数字集成电路设计实验报告

哈尔滨理工大学数字集成电路设计实验报告 学院:应用科学学院 专业班级:电科12 - 1班 学号:32 姓名:周龙 指导教师:刘倩 2015年5月20日

实验一、反相器版图设计 1.实验目的 1)、熟悉mos晶体管版图结构及绘制步骤; 2)、熟悉反相器版图结构及版图仿真; 2. 实验内容 1)绘制PMOS布局图; 2)绘制NMOS布局图; 3)绘制反相器布局图并仿真; 3. 实验步骤 1、绘制PMOS布局图: (1) 绘制N Well图层;(2) 绘制Active图层; (3) 绘制P Select图层; (4) 绘制Poly图层; (5) 绘制Active Contact图层;(6) 绘制Metal1图层; (7) 设计规则检查;(8) 检查错误; (9) 修改错误; (10)截面观察; 2、绘制NMOS布局图: (1) 新增NMOS组件;(2) 编辑NMOS组件;(3) 设计导览; 3、绘制反相器布局图: (1) 取代设定;(2) 编辑组件;(3) 坐标设定;(4) 复制组件;(5) 引用nmos组件;(6) 引用pmos组件;(7) 设计规则检查;(8) 新增PMOS基板节点组件;(9) 编辑PMOS基板节点组件;(10) 新增NMOS基板接触点; (11) 编辑NMOS基板节点组件;(12) 引用Basecontactp组件;(13) 引用Basecontactn 组件;(14) 连接闸极Poly;(15) 连接汲极;(16) 绘制电源线;(17) 标出Vdd 与GND节点;(18) 连接电源与接触点;(19) 加入输入端口;(20) 加入输出端口;(21) 更改组件名称;(22) 将布局图转化成T-Spice文件;(23) T-Spice 模拟; 4. 实验结果 nmos版图

加法器实验报告

加法器实验报告 篇一:加法器实验报告 实验 __一__ 【实验名称】 1位加法器 【目的与要求】 1. 掌握1位全加器的设计 2. 学会1位加法器的扩展 【实验内容】 1. 设计1位全加器 2. 将1位全加器扩展为4位全加器 3. 使4位的全加器能做加减法运算 【操作步骤】 1. 1位全加器的设计 (1)写出1位全加器的真值表 (2)根据真值表写出表达式并化简 (3)画出逻辑电路 (4)用quartusII进行功能仿真,检验逻辑电路是否正确,将仿真波形截图并粘贴于此 (5)如果电路设计正确,将该电路进行封装以用于下一个环节 2. 将1位全加器扩展为4位全加器 (1)用1位全加器扩展为4位的全加器,画出电路图

(2)分别用两个4位补码的正数和负数验证加法器的正确性(注意这两 个数之和必须在4位补码的数的范围内,这两个数包括符号在内共4位),用quartusII进行功能仿真并对仿真结果进行截图。 3. 将4位的全加器改进为可进行4位加法和减法的运算器 (1)在4位加法器的基础上,对电路进行修改,使该电路不仅能进行加 法运算而且还能进行减法运算。画出该电路 (2)分别用两个4位补码的正数和负数验证该电路的正确性(注意两个 数之和必须在4位补码的数的范围内),用quartusII进行功能仿真并对仿真结果进行截图。 【附录】 篇二:加法器的基本原理实验报告 一、实验目的 1、了解加法器的基本原理。掌握组合逻辑电路在Quartus Ⅱ中的图形输入方法及文本输入方法。 2、学习和掌握半加器、全加器的工作和设计原理 3、熟悉EDA工具Quartus II和Modelsim的使用,能够熟练运用Vrilog HDL语言在Quartus II下进行工程开发、调试和仿真。

深圳大学-高频电路_振幅调制器_实验报告

深圳大学实验报告课程名称:通信电子线路 实验项目名称:振幅调制器 学院:信息工程 专业:通信工程 指导教师:张金凤 报告人:高源学号:2011130315 班级: 3 实验时间:2013.5.29 实验报告提交时间:2013.6.12 教务部制

实验板3(幅度调制电路单元) 三、实验基本原理 1. MC1496 简介 MC1496是一种四象限模拟相乘器,其内部电路以及用作振幅调制器时的外部连接如图5-1所示。 由图可见,电路中采用了以反极性方式连接的两组差分对(T1~T4),且这两组差分对的恒流源管(T5、T6)又组成了一个差分对,因而亦称为双差分对模拟相乘器。其典型用法是: ⑻、⑽脚间接一路输入(称为上输入v1), ⑴、⑷脚间接另一路输入(称为下输入v2),⑹、⑿脚分别经由集电极电阻Rc接到正电源+12V上,并从⑹、⑿脚间取输出vo。⑵、⑶脚间接负反馈电阻Rt。⑸脚到地之间接电阻RB,它决定了恒流源电流I7、I8的数值,典型值为6.8kO。⒁脚接负电源-8V。⑺、⑼、⑾、⒀脚悬空不用。由于两路输入v1、v2的极性皆可取正或负,因而称之为四象限模拟相乘器。可以证明: 因而,仅当上输入满足v1≤VT (26mV)时,方有: 才是真正的模拟相乘器。本实验即为此例。 图5-1 MC1496内部电路及外部连接

2.1496组成的调幅器 用MC1496模拟乘法器组成的振幅调幅器实验电路如图4-2 所示。 图中,与图5-1 相对应之处是:R8对应于Rt,R9对应于RB,R3、R10对应于RC。此外,W1用来调节⑴、⑷端之间的平衡,W2用来调节⑻、⑽端之间的平衡。此外,本实验亦利用W1在⑴、⑷端之间产生附加的直流电压,因而当IN2 端加入调制信号时即可产生AM 波。晶体管BG1为射极跟随器,以提高调制器的带负载能力。 图4-2 1496组成的调幅器实验电路

深圳大学物理化学实验报告--实验一 恒温水浴的组装及其性能测试--赖凯涛、张志诚示范文本

深圳大学物理化学实验报告--实验一恒温水浴的组装及其性能测试--赖凯 After completing the work or task, record the overall process and results, including the overall situation, progress and achievements, and summarize the existing problems and future corresponding strategies. 某某管理中心 XX年XX月

深圳大学物理化学实验报告--实验一恒温水浴的组装及其性能测试--赖凯 涛、张志诚示范文本 使用指引:此报告资料应用在完成工作或任务后,对整体过程以及结果进行记录,内容包含整体情况,进度和所取得的的成果,并总结存在的问题,未来的对应策略与解决方案。,文档经过下载可进行自定义修改,请根据实际需求进行调整与使用。 深圳大学物理化学实验报告 实验者: 赖凯涛、张志诚实验时间: 2000/4/3 气温: 21.6 ℃大气压: 101.2 kpa 实验一恒温水浴的组装及其性能测试 目的要求了解恒温水浴的构造及其构造原理,学会恒 温水浴的装配技术;测绘恒温水浴的灵敏度曲线;掌握 贝克曼温度计的调节技术和正确使用方法。仪器与试剂5 升大烧杯贝克曼温度计精密温度计加热器 水银接触温度计继电器搅拌器调压变压器 实验步骤3.1 实验器材,将水银开关、搅拌器等安装

固定。按电路图接线并检查。 3.2 大烧杯中注入蒸馏水。调节水银开关至30℃左右,随即旋紧锁定螺丝。调调压变压器至220v,开动搅拌器(中速),接通继电器电源和加热电源,此时继电器白灯亮,说明烧杯中的水温尚未达到预设的30℃。一段时间后,白灯熄灭,说明水温已达30℃,继电器自动切断了加热电源。 调节贝克曼温度计,使其在30℃水浴中的读数约为2℃。安装好贝克曼温度计。关闭搅拌器。每1分钟记录一次贝克曼温度计的读数,一共记录12个。开动搅拌器,稳定2分钟后再每1分钟记录一次贝克曼温度计的读数,一共记录12个。将调压变压器调至150v(降低发热器的发热功率),稳定5分钟,后再每2分钟记录一次贝克曼温度计的读数,一共记录10个。实验完毕,将贝克曼温度计放回保护盒中,调调压变压器至0v。关闭各仪器电源并

数电实验报告半加全加器

实验二 半加/减器与全加/减器 一、 实验目的: (1) 掌握全加器和半加器的逻辑功能。 (2) 熟悉集成加法器的使用方法。 (3) 了解算术运算电路的结构。 二、 实验设备: 1、 74LS00 (二输入端四与非门) 2、 74LS86 (二输入端四异或门) 3、 数字电路实验箱、导线若干。 Ver 4B 4A 4¥ 3B 3A 3Y 1A IB !Y 2A 2B 2Y GND (74LS86引脚图) 三、 实验原理: 两个二进制数相加,叫做半加,实现半加操作的电路,称为半加器。 A 表示 被加数,B 表示加数,S 表示半加和,Co 表示向高位的进位。 全加器能进行加数、被加数和低位来的信号相加,并给出该位的进位信号以 及和。 四、 实验内容: 用74LS00和74LS86实现半加器、全加器的逻辑电路功能。 (一)半加器、半减器 M=0寸实现半加,M=1时实现半减,真值表如下: (74LS00引脚 )

功能M A B S C 半加00000 00110 01010 01101 半减10000 10111 11010 11100 —s +/- ——co M (半加器图形符号) 2、 ⑴S真值表: 00011110 00110 11001 A ⑵C真值表: 00011110 00000 10101 C 二B(A二M)

(二)全加器、全减器 S CO C^BC i-1 ?(M 十 A )(B 十 C ) 、实验结果 半加器: S 二 AB AB = A 二 B C =B (A 二 M ) 全加器: S = A 二 B - C i-1 G 二GM C 2M CI B +/一

北京邮电大学数字电路实验报告

北京邮电大学数字电路实验报告

北京邮电大学 数字电路与逻辑设计实验 实验报告 实验名称:QuartusII原理图输入 法设计与实现 学院:北京邮电大学 班级: 姓名: 学号:

一.实验名称和实验任务要求 实验名称:QuartusII原理图输入法设计与实现 实验目的:⑴熟悉用QuartusII原理图输入法进行电路设计和仿真。 ⑵掌握QuartusII图形模块单元的生成与调用; ⑶熟悉实验板的使用。 实验任务要求:⑴掌握QuartusII的基础上,利用QuartusII用逻辑 门设计实现一个半加器,生成新的半加器图像模 块。 ⑵用实验内容(1)中生成的半加器模块以及逻辑门 实现一个全加器,仿真验证其功能,并能下载到实 验板上进行测试,要求用拨码开关设定输入信号, 发光二级管显示输出信号。 ⑶用3线—8线译码器(74L138)和逻辑门实现要求 的函数:CBA F+ C + =,仿真验证其 + B C B A A A B C 功能,,并能下载到实验板上进行测试,要求用拨 码开关设定输入信号,发光二级管显示输出信号。二.设计思路和过程 半加器的设计实现过程:⑴半加器的应有两个输入值,两个输出值。 a表示加数,b表示被加数,s表示半加和, co表示向高位的进位。

⑵由数字电路与逻辑设计理论知识可知b a s ⊕=;b a co ?= 选择两个逻辑门:异或门和与门。a,b 为异或门和与门的输入,S 为异或门的输出,C 为与门的输出。 (3)利用QuartusII 仿真实现其逻辑功能,并生成新的半加器图形模块单元。 (4)下载到电路板,并检验是否正确。 全加器的设计实现过程:⑴全加器能够由两个半加器和一个或门构成。全加器有三个输入值a,b,ci ,两个输 出值s,co :a 为被加数,b 为加数,ci 为低 位向高位的进位。 ⑵全加器的逻辑表 示式为: c b a s ⊕⊕= b a ci b a co ?+?⊕=)(

相关文档
相关文档 最新文档