文档库 最新最全的文档下载
当前位置:文档库 › 数电课程设计总结

数电课程设计总结

数电课程设计总结
数电课程设计总结

电子技术基础课程设计说明书

基于multisim的数字电子钟

设计与仿真

基于multisim的数字电子钟设计与仿真

摘要

数字电子钟是一种利用数字电路来显示秒、分、时的计时装置,与传统的机械钟相比,它具有走时准确、显示直观,无机械传动装置等优点。随着现代数字技术的发展,数字电子钟广泛的应用于各个生活生产领域,如时间程序自动控制、定时广播、自动起闭路灯、定时开关烘箱、通断动力设备等等。

本次课程设计之一------电子时钟设计,具有最简单的计时功能及调整时间的功能。基于TTL集成电路和LED数码管为核心,辅以必要的电路,构成一个简单的数字电子时钟。通过数码管能够准确显示时间,时、分、秒,并且可以通过校正电路进行校时。本设计以multisim为仿真软件,由虚拟元器件组成的数字电子钟,它主要由振荡器、时分秒计数器、校时电路、译码器、数码管等几部分组成。通过multisim的仿真,它可以实现时分秒的计时功能。

关键词:计数器;译码器;三五定时器;数码管;multisim10.0

目录

一设计任务 (5)

1.1设计目的和意义 (5)

1.1.1设计目的 (5)

1.2任务和要求 (5)

二系统设计 (5)

2.1数字钟电路系统的工作原理: (5)

2.2 器件选择 (6)

2.2.1器件表 (6)

2.2.2器件详细介绍 (7)

2.3.3时分秒计数器的设计 (10)

2.3.4校时电路设计 (12)

2.3.5主体电路图 (13)

2.4 电路仿真测试 (14)

2.4.1基本功能测试 (14)

2.4.2校正功能测试 (15)

三总结 (17)

3.1结论 (17)

3.2优点与不足 (18)

3.2.1优点 (18)

3.2.2不足 (18)

3.3 心得与体会 (18)

四参考文献 (18)

一设计任务

1.1设计目的和意义

1.1.1设计目的

熟悉集成电路的引脚安排。掌握各芯片的逻辑功能及使用方法。了解数字钟的组成及工作原理。熟悉数字钟的设计与制作。

1.1.2设计意义

数字钟是一种利用数字电路来显示时分秒的计时装置,与传统机械相比,它具有走时准确、显示直观,无机械传动装置等优点。随着现代数字技术的发展,数字钟广泛的应用于各个生产生活领域。

1.2任务和要求

1.2.1任务

本设计以multisim为仿真软件,由虚拟元器件组成的数字电子钟,它主要由振荡器、时分秒计数器、校时电路、译码器、数码管等级部分组成。通过multisim的仿真,它可以实现时分秒的计时功能。

1.2.2 设计要求

(1)准确计时,以数字形式显示时分秒的时间。

(2)小时的计时要求为“二十四翻一”,分和秒的计时要求为60进位。

(3)校正时间。

二系统设计

2.1数字钟电路系统的工作原理:

振荡器产生稳定的高频脉冲信号作为数字时钟的时间基准,输出标准秒脉冲,秒计数器计满六十后向分计数器进位,分计数器计满六十后向小时计数器进位,时计数器按照“二十四翻一”规律计数。计数器的输出经译码器送显示器。计时出现误差时可以

用校正电路进行校时,校分。数字时钟电路

图一数字钟系统组成框图

2.2 器件选择

2.2.1器件表

表一器件明细表

器件名称器件个数器件参数器件标号SEVEN-SEG-CO

6 5mA 1.66v 1

M-A

7447N 6 2

R1 1 530 3

R2 1 525 4 DGND 1 5

C 2 910nF 6 GROUN

D 2 7

VDD 1 5V 8

74LS04D 3 9

KEY=SPACE 2 10

74LS190 4 11

VCC 2 5V 12

LM555C 1 13

74LS08D 5 14

74LS00D 9 15

2.2.2器件详细介绍

1)四位二进制进制加/减计数器74LS190

图二74LS190引脚图

表二74LS190功能表

CLK1 S' LD' U'/D 工作状态

x 1 1 x 保持

x x 0 x 预制数上升沿0 1 0 加法计数

上升沿0 1 1 减法计数

2)二-五-十进制异步计数器74LS90

图三74LS90引脚图

如图所示,74LS90是二-五-十进制异步计数器,QA,QB,QC,QD分别是脉冲输出线。通过不同的连接方式它可以实现四种不同的逻辑功能,而且还可借助R01和R02对计数器清零,借助R9(1)和R9(2)将计数器置9。

具体功能如下:

a)计数脉冲从INA输入,QA作为输出端,为二进制计数器;

b)若将INB和QA相连,计数脉冲有INA输入QD,QC,QB,QA作为输出端,则构成8421码十进制加法计数器;

c)若将INA 和QD相连,计数脉冲由INB输入,QA,QD,QC,QB作为输出端,则构成异步5421码十进制加法计数器;

d)清零,置9功能;

(1)异步清零:当R01和R02均为“1”,R9(1)和R9(2)中有“0”时,实现异步清零功能,即QDQCQBQA=0000;

(2)置9功能:当R91和R92均为“1”,R01和R02中有“0”时,实现置9功能,即QDQCQBQA=1001;

3)BCD-7段译码器/驱动器7447

BCD-7段译码器/驱动器是数字集成电路如图所示,用于将BCD码转化成数码块中的数字,然后我们就能看到从0~9的数字。译码器原理(7447)译码为编码的逆过程。它将编码时赋予代码的含义“翻译”过来。实现译码的逻辑电路成为译码器。译码器输出与输入代码有唯一的对应关系。7447是输出低电平有效的七段字形译码器,它在这里与数码管配合使用,表1列出了7447的真值表,表示出了它与数码管之间的关系。

图四7447引脚图

表三7447功能表

LT RBI D C B A BI/RBO A B C D E F G 显示数字1 x 0 0 0 1 1 1 0 0 1 1 1 1 1

1 x 0 0 1 0 1 0 0 1 0 0 1 0 2

1 x 0 0 1 1 1 0 0 0 0 1 1 0 3

1 x 0 1 0 0 1 1 0 0 1 1 0 0 4

1 x 0 1 0 1 1 0 1 0 0 1 0 0 5

1 x 0 1 1 0 1 1 1 0 0 0 0 0 6

1 x 0 1 1 1 1 0 0 0 1 1 1 1 7

1 x 1 0 0 0 1 0 0 0 0 0 0 0 8

1 x 1 0 0 1 1 0 0 0 1 1 0 0 9

x x x x x x 0 1 1 1 1 1 1 1 熄灭1 0 0 0 0 0 0 0 1 1 1 1 1 1 熄灭0 x x x x x 1 0 0 0 0 0 0 0 8

注释:a、 LT:试灯输入,是为了检查数码管各段是否能正常发光而

设置的。当LT=0时,无论输入D,C,B或A为何种状

态,译码器输出均为低电平,若驱动的数码管正常则显示

出8。

b、BI:灭灯输入,是为控制多位数码显示的灭灯所设置的。BI

=0时。不论LT和输入D,C,B或A为何种状态,译码器

输出均为高电平,使共阳极数码管熄灭。

c、RBI:灭零输入,它是为使不希望显示的0熄灭而设定的。

当对每一位D=C=B=A=0时,本应显示0,但是在RBI=

0作用下,使译码器输出全为高电平。其结果和加入灭灯

信号的结果一样,将0熄灭。

d、RBO:灭零输出,它和灭灯输入BI共用一端。两者配合使用,可以实现多位数

码显示的灭零控制。

4)反相器74LS04

如图所示74LS04反相器是电子电路中简单而重要的器件,它可以将高电平转

换成低电平,同时也可以将低电平转换成高电平。

图五74LS04

2.3电路设计

2.3.1总体思想:

主体电路有功能部件或单元电路组成,在设计这些电路或选择部件是,尽量选用同类型的器件,如所有功能部件都采用TTL集成电路或都采用CMOS集成电路,整个系统所用的器件应尽可能的少,下面介绍各功能部,与单元电路的设计。

2.3.2振荡器的设计

振荡器是数字时钟的核心。振荡器的稳定度及频率的精确度决定了数字时钟计时的准确程度。通常选用石英晶体构成振荡器电路。石英晶体振荡器具有频率精确,振荡稳定,温度系数小的特点,可以满足一般数字时钟走时准确性的要求。一般来说,振荡器频率越高,计时精度越高。在电子手表中,常取的晶振的频率32768Hz。在本次设计中,精度要求不是很高,所以选用有集成电路定时器555与RC组成的多谐振荡器,振荡频率为1Hz。电路图及参数如图二所示

图六 555振荡器

2.3.3时分秒计数器的设计

1)分和秒计数器

分和秒计数器都是M=60的计数器,其计数规律为00—01—…—58—59—00…,选74LS190(单时钟同步十进制加 /减计数器电路)作为六进制计数器,

74LS90(十分频计数器)作为个位计数器,再将它们级联组成模数M=60的计数器。

分计数器育苗计数器原理相同,如图三所示

图七秒计数器及显示电路

2)时计数器

时计数器是一个“二十四翻一”的特殊进制计数器。即当时钟运行到23时59分59秒时,秒的个位计数器再输入一个秒脉冲时,数字时钟自动显示为00时00分00秒,选用两片74LS190(四位二进制同步加减计数器)级联而成。

图八时计数器电路

2.3.4校时电路设计

当数字时钟接通电源或者计时出现误差时,需要校正时间。校时是数字时钟应具备的基本功能。为使电路简单,只进行分和小时的校时。

对校时电路的要求是,在小时校正时不影响分和秒的正常计数,在分校正时不影响秒和小时的正常计数。校时方式有“快校时”和“慢校时”两种,“快校时”是通过开关控制,使计数器对1Hz的校时脉冲计数。“慢校时”使用手动生产单脉冲作为校时脉冲。图五为“校时”“校分”电路。校时控制功能表如表一所示。当校时脉冲采用分频器输出的1Hz脉冲,当S1或S2分别为“0”时进行“快校时”,如果校时脉冲由单次脉冲产生器提供,则可进行“慢校时”。开关S1或S2为零,

或为一时,可能会产生抖动,接电容可以缓解抖动。必要时刻将其改为去抖开关。

.图九校时校分电路

表四校时开关功能表

S2 S1 功能

1 1 计数

0 1 校分

1 0 校时

2.3.5主体电路图

根据设计的主体思想和各部分电路,按照流向分级安装,逐级级联,每一级指组成数字钟的各功能电路。

注意事项:级联时如果出现配合不同步,或尖峰脉冲干扰,引起逻辑混乱,可以增加逻辑门来延时,如果显示字符变化很快,模糊不清,可能由于电源电流的跳变引起的,可在集成电路器件的电源端加退耦滤波电容。

经过纠正设计方案中的不足之处后,联出总体逻辑电路图,如图十所示

图十数字钟的主题电路逻辑图

2.4 电路仿真测试

连接好电路后进行仿真

2.4.1基本功能测试

两个开关都接高电平,此时和分计数器正常计数。秒计数器计到59时,下一个脉冲到来时,分的各位加一。当分计数器计到59并且秒计数器计到59时,时计数器的个位加一。即当时钟运行到23时59分59秒时,秒的个位计数器再输入一个秒脉冲时,

数字时钟自动显示为00时00分00秒,如图所示

图十一基本功能仿真测试

2.4.2校正功能测试

左开关接高电平,右开关接低电平时,可以对分计数器进行校正。

右开关接高电平,左开关接低电平时,可以对分计数器进行校正。如图所示

图十二基本功能

仿真测试

图十三基本功能仿真测试

三总结

3.1结论

在进行电路的设计中,遇到了很多的问题,设计振荡电路时,由于电源和地的选择不正确,导致数码管无法显示,设计显示电路时,没有注意数码管是共阴还是共阳,导致连接错误。设计校正电路时,刚开始的门电路选用2V的CMOS系列,导致校正电路无法发挥作用。后改用74LS系列,电路才发挥作用,

通过自己亲身设计,熟悉了芯片的结构及掌握了各芯片的工作原理和其具体的使用方法。也锻炼了自己独立思考问题的能力和通过查看相关资料来解决问题的习惯。虽然

这只是一次简单的课程设计,但通过这次课程设计我们了解了课程设计的一般步骤,和设计中应注意的问题。

3.2优点与不足

3.2.1优点

可以实现数字电子中的基本计时功能,并且增加了校正电路,在小时校正时不影响分和秒的正常计数,在分校正时不影响秒和小时的正常计数。

3.2.2不足

基本脉冲的产生采用的时三五定时器电路,产生的秒脉冲不够精确。

3.3 心得与体会

我们学习了数字电子电路和模拟电子电路,对电子技术有了一些初步了解,但那都是一些理论的东西。通过这次数字电子钟的课程设计,我才把学到的东西与实践相结合。从中对我学的知识有了更进一步的理解。

在此次的数字钟设计过程中,更进一步地熟悉了芯片的结构及掌握了各芯片的工作原理和其具体的使用方法。也锻炼了自己独立思考问题的能力和通过查看相关资料来解决问题的习惯。虽然这只是一次简单的课程设计,但通过这次课程设计我们了解了课程设计的一般步骤,和设计中应注意的问题。设计本身并不是有很重要的意义,而是对待问题时的态度和处理事情的能力。设计的过程,设计的思想和设计电路中的每一个环节,电路中各个部分的功能是如何实现的。各个芯片能够完成什么样的功能,使用芯片时应该注意那些要点。同一个电路可以用那些芯片实现,各个芯片实现同一个功能的区别。

在这次设计过程中,我也对multisim、word、画图等软件有了更进一步的了解,这使我在以后的学习中中更加得心应手。实验中,借助仿真软件,不仅可以把课堂中所学到的知识,直接加以运用,而且还可以把各个分离的知识点组合为一个整体。使自己在专业知识和动手能力上有了和大的提高

四参考文献

[1]郑步生,吴渭.Mumsinl8电路设计及仿真入门与应用[M].北京:电子工业出版社.

[2]罗桂娥.数字电子技术实用教程 [M].湖南:中南大学出版社版社.

[3]阎石.数字电子技术基础 [M].北京:清华大学出版社.

数电课程设计--简易电子琴

目录 1 设计任务 (1) 1.1 基本任务 (1) 1.2 扩展任务 (1) 2 设计方案原理 (1) 3 单元电路的设计 (2) 3.1 多谐振荡器 (2) 3.2 琴键开关 (3) 3.3 扩音器(喇叭) (4) 3.4 器件选择 (4) 4 电路图的绘制 (5) 5 电路的仿真及调试 (6) 6 体会 (6) 参考文献 (8)

1设计任务 电子琴是一种很简单的电子产品,目前市场上所售的电子琴多为基于单片机所设计的。本次课设要求利用数电知识,设计一个能奏出八个音阶的电子琴。虽然没有基于单片机的电子琴那么多的功能,但是电子琴的基本功能是可以满足的。 本次设计的主要内容为:根据数电课程所学内容,结合其他相关课程知识,设计一个简易电子琴,以加深对单片机知识的理解,锻炼实践动手能力。 本次设计的任务为: 1.1基本任务 ①具备8个按键,能够分别较准确地弹奏出1?1八个音符。 ②选择电路方案,完成对确定方案电路的设计。计算电路元件参 数与元件选择、并画出总体电路原理图,阐述基本原理。用 Proteus或MULTISIM软件完成仿真,并按规定格式写出课程设计 报告书。 1.2扩展任务 ①能够弹奏出至少21个音符(三个音阶)。 ②能够较便捷地完成音阶的升降。(按一个开关实现升8度,按另一个开关实现降 8度) 2设计方案原理 本方案为利用555多谐振荡器能输出脉冲信号的特性,通过改变振荡器外接电阻的阻值来改变振荡器输出脉冲的频率,驱动喇叭发出各种音阶。电子琴所用琴键即为改变电阻阻值的开关,通过改变阻值使输出与琴键音阶相对应。

原理框图如下: 图1原理框图 3单元电路的设计 3.1多谐振荡器 利用多谐振荡器产生周期脉冲电路图如下图所示 图2 多谐振荡器电路实现 图中引脚功能: 1脚:GND或Vss)外接电源负端VSS或接地,一般情况下接地。 2脚:TR低触发端。 3脚:OUT(或Vo)输出端。 4脚:Rd是直接清零端。当R端接低电平,则时基电路不工作,此时不论TR、TH 处于何电平,时基电路输出为“ o”,该端不用时应接高电平。 5脚:CO或VC)为控制电压端。若此端外接电压,则可改变内部两个比较器的

模拟电路课程设计心得体会

模拟电路课程设计心得 体会 内部编号:(YUUT-TBBY-MMUT-URRUY-UOOY-DBUYI-0128)

精选范文:《模拟电路》课程设计心得体会(共2篇)本学期我们开设了《模拟电路》与《数字电路》课,这两门学科都属于电子电路范畴,与我们的专业也都有联系,且都是理论方面的指示。正所谓“纸上谈兵终觉浅,觉知此事要躬行。”学习任何知识,仅从理论上去求知,而不去实践、探索是不够的,所以在本学期暨模电、数电刚学完之际,紧接着来一次电子电路课程设计是很及时、很必要的。这样不仅能加深我们对电子电路的任职,而且还及时、真正的做到了学以致用。这两周的课程设计,先不说其他,就天气而言,确实很艰苦。受副热带高气压影响,江南大部这两周都被高温笼罩着。人在高温下的反应是很迟钝的,简言之,就是很难静坐下来动脑子做事。天气本身炎热,加之机房里又没有电扇、空调,故在上机仿真时,真是艰熬,坐下来才一会会,就全身湿透,但是炎炎烈日挡不住我们求知、探索的欲望。通过我们不懈的努力与切实追求,终于做完了课程设计。在这次课程设计过程中,我也遇到了很多问题。比如在三角波、方波转换成正弦波时,我就弄了很长时间,先是远离不清晰,这直接导致了我无法很顺利地连接电路,然后翻阅了大量书籍,查资料,终于在书中查到了有关章节,并参考,并设计出了三角波、方波转换成正弦波的电路图。但在设计数字频率计时就不是那么一帆风顺了。我同样是查阅资料,虽找到了原理框图,但电路图却始终设计不出来,最后实在没办法,只能用数字是中来代替。在此,我深表遗憾!这次课程设计让我学到了很多,不仅是巩固了先前学的模电、数电的理论知识,而且也培养了我的动手能力,更令我的创造性思维得到拓展。希望今后类似这样课程设计、类似这样的锻炼机会能更多些!

数字电子钟课程设计实验报告

中北大学 信息与通信工程学院 通信工程专业 《电子线路及系统》课程设计任务书2016/2017 学年第一学期 学生姓名:张涛学号: 李子鹏学号: 课程设计题目:数字电子钟的设计 起迄日期:2017年1月4日~2017年7月10日 课程设计地点:科学楼 指导教师:姚爱琴 2017年月日 课程设计任务书

中北大学 信息与通信工程学院 通信工程专业 《电子线路及系统》课程设计开题报告2016/2017 学年第一学期 题目:数字电子钟的设计 学生姓名:张涛学号: 李子鹏学号:

指导教师:姚爱琴 2017 年 1 月 6 日 中北大学 信息与通信工程学院 通信工程专业 《电子线路及系统》课程设计说明书2016/2017 学年第二学期 题目:数字电子钟的设计 学生姓名:张涛学号: 李子鹏学号: 指导教师:姚爱琴 2017 年月日

目录 1 引言 (6) 2 数字电子钟设计方案 (6) 2.1 数字计时器的设计思想 (6) 2.2数字电路设计及元器件参数选择 (6) 2.2.2 时、分、秒计数器 (7) 2.2.3 计数显示电路 (8) 2.2.5 整点报时电路 (10) 2.2.6 总体电路 (10) 2.3 安装与调试 (11) 2.3.1 数字电子钟PCB图 (11) 3 设计单元原理说明 (11) 3.1 555定时器原理 (12) 3.2 计数器原理 (12) 3.3 译码和数码显示电路原理 (12) 3.4 校时电路原理 (12) 4 心得与体会 (12) 1 引言 数字钟是一种用数字电子技术实现时,分,秒计时的装置,具有较高的准确性和直观性等各方面的优势,而得到广泛的应用。此次设计数字电子钟是为了了解数字钟的原理,在设计数字电子钟的过程中,用数字电子技术的理论和制作实践相结合,进一步加深数字电子技术课程知识的理解和应用,同时学会使用Multisim电子设计软件。 2数字电子钟设计方案 2.1 数字计时器的设计思想 要想构成数字钟,首先应选择一个脉冲源——能自动地产生稳定的标准时间脉冲信号。而脉冲源产生的脉冲信号地频率较高,因此,需要进行分频,使得高频脉冲信号变成适合于计时的低频脉冲信号,即“秒脉冲信号”(频率为1Hz)。经过分频器输出的秒脉冲信号到计数器中进行计数。由于计时的规律是:60秒=1分,60分=1小时,24小时=1天,就需要分别设计60进制,24进制计数器,并发出驱动信号。各计数器输出信号经译码器、驱动器到数字显示器,是“时”、“分”、“秒”得以数字显示出来。 值得注意的是:任何记时装置都有误差,因此应考虑校准时间电路。校时电路一般

数电课程设计心得

数电课程设计是培养学生综合运用所学知识,发现,提出,分析和解决实际问题,锻炼实践能力的重要环节,是对学生实际工作能力的具体训练和考察过程.回顾起此次课程设计,至今我仍感慨颇多,的确,从选题到定稿,从理论到实践,在短短的两个星期的日子里,可以说得是苦多于甜,但是可以学到很多很多的的东西,同时不仅可以巩固了以前所学过的知识,而且学到了很多在书本上所没有学到过的知识。通过这次数电课程设计使我懂得了理论与实际相结合是很重要的,只有理论知识是远远不够的,只有把所学的理论知识与实践相结合起来,从理论中得出结论,从而提高自己的实际动手能力和独立思考的能力。在设计的过程中遇到问题,可以说得是困难重重,这毕竟第一次做数电课程设计,难免会遇到过各种各样的问题,同时在设计的过程中发现了自己的不足之处,对以前所学过的知识理解得不够深刻,掌握得不够牢固。 这次数电课程设计终于顺利完成了,在设计中遇到了很多问题,最后在王老师的辛勤指导下,终于游逆而解。同时,在王老师的身上我学得到很多实用的知识。总体来说,这次实习我受益匪浅.在摸索该如何设计程序使之实现所需功能的过程中,特别有趣,培养了我的设计思维,增加了实际操作能力.在让我体会到了设计的艰辛的同时,更让我体会到成功的喜悦和快乐. 这次数电课程设计,虽然短暂但是让我得到多方面的提高:1、提高了我们的逻辑思维能力,使我们在逻辑电路的分析与设计上有了很大的进步。加深了我们对组合逻辑电路与时序逻辑电路的认识,进一步增进了对一些常见逻辑器件的了解。另外,我们还更加充分的认识到,数字电路这门课程在科学发展中的至关重要性2,查阅参考书的独立思考的能力以及培养非常重要,我们在设计电路时,遇到很多不理解的东西,有的我们通过查阅参考书弄明白,有的通过网络查到,但由于时间和资料有限我们更多的还是独立思考。3,相互讨论共同研究也是很重要的,经常出现一些问题,比如电路设计中的分频器的设计,开始并不理解分频器的原理,但是和其他的专业同学讨论后,理解了分频器的基本原理后,很快的设计了电路原理图。

数电课程设计心得体会_1

数电课程设计心得体会 课程设计刚开始,拿着选定的题目不知如何入手。毕竟课程设计不同于实验课,电路图和程序都要自己设计。静下心来,仔细分析题目,再加上指导老师的说明与提示和同组成员的帮助,心中才有了谱。将整个系统根据不同的功能化分成模块,再分别进行设计,逐个攻破,最后再将其整合即可。 用vhdl进行设计,首先应该理解,vhdl语言是一种全方位硬件描述语言,包括系统行为级,寄存器传输级和逻辑门级多个设计层次。应充分利用vhdl“自顶向下”的设计优点以及层次化的设计概念,层次概念对于设计复杂的数字系统是非常有用的,它使得我们可以从简单的单元入手,逐渐构成庞大而复杂的系统。通过使用eda编程既方便有快捷的实现了程序本次设计的程序已经在硬件系统上得到了验证,实验表明,此设计方法能够满足多种不同花样彩灯的变化要求,并且该方法便于扩展不同变化模式的彩灯花样。但是试验中也出现了一些不熟练的操作问题和一些复杂程序的不能完全理解都需要我在平时多学习,进一步的完善自己。在实习中经常会遇到一些自己可能暂时无法想明白的问题,请教同学或老师是很好的做法,节省时间也会从别人上上学到更多。在设计时和同学相互交流各自的想法也是很重要的,不同的人对问题的看法总有差异,我们可以从交流中获得不

同的思路,其他人的设计一定有比你出色的地方,很好的借鉴,并在大家的商讨中选择最优方案最终一定会得到最好的设计方法。电子技术课程设计是配合电子技术基础课程与实验教学的一个非常重要的教学环节。它不但能巩固我们已所学的电子技术的理论知识,而且能提高我们的电子电路的设计水平,还能加强我们综合分析问题和解决问题的能力,进一步培养我们的实验技能和动手能力,启发我们的创新意识几创新思维。 整个课程设计过程我都认真地完成了,对此,我总结了以下几点: 第一,两人一组,既加强了我们的动手能力,又让我们学会了团结一致,共同合作才能研究出最好的方案。我们将理论联系实际,在交流中取得进步,从问题中提高自己。 第二,本次课程设计加深了我对eda技术的进一步深入理解。熟悉了vhdl程序编写和原理图输入法的优缺点,为我以后更好地运用max+plusii奠定了良好的基础。 第三,通过这次课程设计,使我受益颇多。了解到课程实习设计是开端,连接是关键,测试是必须。既巩固了课堂上学到的理论知识,又掌握了常用集成电路芯片的使用。在此基础上学习了数字系统设计的基本思想和方法,学会了科学地分析实际问题,通过查资料、分析资料及请教老师和同学等多种途径,独立解决问题。同时,也培养了我认真严谨

数电课程设计-温度计实验报告(提交版)

一、设计项目名称 温度采集显示系统硬件与软件设计 二、设计内容及要求 1,根据设计要求,完成对单路温度进行测量,并用数码管显示当前温度值系统硬件设计,并用电子CAD软件绘制出原理图,编辑、绘制出PCB印制版。 要求: (1)原理图中元件电气图形符号符合国家标准; (2)整体布局合理,注标规范、明确、美观,不产生歧义。 (3)列出完整的元件清单(标号、型号及大小、封装形式、数量) (4) 图纸幅面为A4。 (4)布局、布线规范合理,满足电磁兼容性要求。 (5)在元件面的丝印层上,给出标号、型号或大小。所有注释信息(包括标号、型号及说明性文字)要规范、明确,不产生歧义。 2.编写并调试驱动程序。 功能要求: (1)温度范围0-100℃。 (2)温度分辨率±1℃。 (3)选择合适的温度传感器。 3.撰写设计报告。 提示:可借助“单片机实验电路板”实现或验证软件、硬件系统的可靠性。 温度传感器 摘要:温度的检测与控制是工业生产过程中比较典型的应用之一,随着传感器在生产和生活中的更加广泛的应用,利用新型单总线式数字温度传感器 实现对温度的测试与控制得到更快的开发,随着时代的进步和发展,单 片机技术已经普及到我们生活,工作,科研,各个领域。一种数字式温 度计以数字温度传感器DS18B20作感温元件,它以单总线的连接方式, 使电路大大的简化。传统的温度检测大多以热敏电阻为传感器,这类传 感器可靠性差,测量温度准确率低且电路复杂。因此,本温度计摆脱了 传统的温度测量方法,利用单片机STC89C52对传感器进行控制。这样

易于智能化控制。 关键词:数字测温;温度传感器DS18B20;单片机STC89C52; 一.概述 传感器从功能上可分为雷达传感器、电阻式传感器、电阻应变式传感器、压阻式传感器、热电阻传感器、温度传感器、光敏传感器、湿度传感器、生物传感器、位移传感器、压力传感器、超声波测距离传感器等,本文所研究的是温度传感器。 温度传感器是最早开发,应用最广泛的一类传感器。温度传感器是利用物质各种物理性质随温度变化的规律把温度转换为电量的传感器。这些呈现规律性变化的物理性质主要有半导体。温度传感器是温度测量仪表的核心部分,品种繁多。 随着科学技术的发展,测温系统已经被广泛应用于社会生产、生活的各个领域,在工业、环境监测、医疗、家庭多方面均有应用。从而使得现代温度传感器的发展。微型化、集成化、数字化正成为发展的一个重要方向。 二.硬件设计 1.DS18B20 DS1820 单线数字温度计特性 ? 独特的单线接口仅需一个端口引脚进行通讯 ? 简单的多点分布应用 ? 无需外部器件 ? 可通过数据线供电 ? 零待机功耗 ? 测温范围-55~+125℃,以 0.5℃递增 ? 温度以 9 位数字量读出 ? 温度数字量转换时间 200ms (典型值) ? 用户可定义的非易失性温度报警设置 ? 报警搜索命令识别并标志超过程序限定温度(温度报警条件)的器件 ? 应用包括温度控制、工业系统、消费品、温度计或任何热感测系统 DS1820温度传感器外观图(a )和引脚图(b ) ①引脚1接地 ②引脚2数字信号输入/输出 ③引脚3接高电平5V 高电平

课程设计心得体会【三篇】

课程设计心得体会【三篇】 导读:本文课程设计心得体会【三篇】,仅供参考,如果觉得很不错,欢迎点评和分享。 【篇一】 经过一个学期的学习,我对C语言有了一定的了解。C语言是学习计算机科学的基础,作为一名计算机专业学生,掌握C语言更是毋庸置疑。在上课之前,就经常听同学说,C语言很难学,确实,刚开始听课时觉得老师不知所云。不过,发现对后续内容的预习后,前面的疑团都迎刃而解,这让我对C语言的学习更有信心。 计算机最重要的就是上机操作,自己编写程序,在VisualC++运行,刚开始经常会出现错误,经过分析改正后,终于能够运行了,就觉得特别激动。 课程设计是一个把需求分析、程序编写、程序调试、撰写报告结合为一体的过程。在这个过程中,不仅锻炼了我们缜密的思维和坚持不解的毅力,更磨练了一个队伍的团结互助的精神。只有通过大家一起努力才能将课程设计的所有环节都顺利的完成。另外程序设计中我们遇到问题并解决问题的过程,使得我们独自探索并解决问题的能力了有了一个提高,这有利于我们以后的学习。同时这整一个过程,也使我们对程序编写的整个过程有了一个统筹全局的思想,因为需求分析、程序编写、程序调试、撰写报告这些过程是环环相扣的,绝对不可能独立进行。

课程设计是学习《C程序设计》后对知识的全面测试,刚拿到题目时不知道怎么去处理,觉得很复杂,经过和小组成员的讨论,上网查资料,逐个问题逐个击破,问题不再那么复杂。通过课程设计,我发现自己还存在很多知识漏洞,编写程序时,经常会出现低级错误,很多知识点都不熟悉。在今后的时间里,我一定要投入更多精力学习C语言,以课本为基础,请教老师,与同学讨论,参考资料,上机操作,我相信我一定能把C语言学好。 【篇二】 本学期我们开设了《模拟电路》与《数字电路》课,这两门学科都属于电子电路范畴,与我们的专业也都有联系,且都是理论方面的指示。正所谓“纸上谈兵终觉浅,觉知此事要躬行。”学习任何知识,仅从理论上去求知,而不去实践、探索是不够的,所以在本学期暨模电、数电刚学完之际,紧接着来一次电子电路课程设计是很及时、很必要的。这样不仅能加深我们对电子电路的任职,而且还及时、真正的做到了学以致用。 这两周的课程设计,先不说其他,就天气而言,确实很艰苦。受副热带高气压影响,江南大部这两周都被高温笼罩着。人在高温下的反应是很迟钝的,简言之,就是很难静坐下来动脑子做事。天气本身炎热,加之机房里又没有电扇、空调,故在上机仿真时,真是艰熬,坐下来才一会会,就全身湿透,但是炎炎烈日挡不住我们求知、探索的欲望。通过我们不懈的努力与切实追求,终于做完了课程设计。 在这次课程兼职设计过程中,我也遇到了很多问题。比如在三角

数电课程设计数字电子时钟样本

数字逻辑课程设计说明书 题目: 多功能数字钟 专业: 计算机科学与技术 班级: 姓名: 学号: 完成日期: -9 一、设计题目与要求

设计题目: 多功能数字钟 设计要求: 1.准确计时, 以数字形式显示时、分、秒的时间。 2.小时的计时能够为”12翻1”或”23翻0”的形式。 3.能够进行时、分、秒时间的校正。 二、设计原理及其框图 1.数字钟的构成 数字钟实际上是一个对标准频率?( 1HZ) 进行计数的计数电路。由于计数的起始时间不可能与标准时间( 如北京时间) 一致, 故需要在电路上加一个校时电路。图 1 所示为数字钟的一般构成框图。 图1 数字电子时钟方案框图

⑴多谐振荡器电路 多谐振荡器电路给数字钟提供一个频率1Hz 的信号, 可保证数字钟的走时准确及稳定。 ⑵时间计数器电路 时间计数电路由秒个位和秒十位计数器、分个位和分十位计数器及时个位和时十位计数器电路构成。其中秒个位和秒十位计数器、分个位和分十位计数器为60 进制计数器。而根据设计要求, 时个位和时十位计数器为24 进制计数器。 ⑶译码驱动电路 译码驱动电路将计数器输出的8421BCD 码转换为数码管需要的逻辑状态, 而且为保证数码管正常工作提供足够的工作电流。 ⑷数码管 数码管一般有发光二极管( LED) 数码管和液晶( LCD) 数码管。本设计提供的为LED数码管。 2.数字钟的工作原理 ⑴多谐振荡器电路 555 定时器与电阻R1、 R2, 电容C1、 C2 构成一个多谐振荡器, 利用电容的充放电来调节输出V0, 产生矩形脉冲波作为时钟信号, 因为是数字钟, 因此应选择的电阻电容值使频率为1HZ。 ⑵时间计数单元 六片74LS90 芯片构成计数电路, 按时间进制从右到左构成从低位向高位的进位电路, 并经过译码显示。在六位LED 七段显示起

模电课程设计心得(精选多篇)

模电课程设计心得(精选多篇) 第一篇:模电课程设计心得 时间总是过得很快,经过一周的课程设计的学习,我已经自己能制作一个高保真音频功率放大器,这其中的兴奋是无法用言语表达的。 学习模电这段时间也是我们一学期最忙的日子,不仅面临着期末考试,而且中间还有一些其他科目的实验,更为紧急的是,之前刚做完protelxx的课程设计,本周必须完成模电的课程设计。任务对我们来说,显得很重。昨天刚考完复变,为了尽快完成模电的课程设计,我一天也没歇息。相关知识缺乏给学习它带来很大困难,为了尽快掌握它的用法,我照着原理图学习视频一步一步做,终于知道了如何操作。 刚开始我借来了一份高保真音频功率放大器的电路原理图,但离实际应用差距较大,有些器件很难找到,后来到网上搜索了一下相关内容,顺便到学校图书馆借相关书籍,经过不断比较与讨论,最终敲定了高保真音频功率放大器的电路原理图,并且询问了兄弟班关于元器件的参数情况。为下步实物连接打好基础。 在做电路仿真时,我画好了电路原理图,修改好参数后,

创建网络列表时系统总是报错,无论我怎样修改都不行,后来请教同学,他们也遇到了同样的困惑。任何事情都不可能是一帆风顺的,开始是创建网络表时出现问题,后来是没有差错但出来的仿真波形不是预计中的,这确实很难修改。输出时仿真波形总是一条直线,我弄了一晚上也找不出原因,整个人也显得焦躁不已。 接下来,开始了我们的实物焊接阶段。之前的电工实习让我简单的接触到了焊接实物,以为会比较轻松,但实际焊接起来才发现此次与电工实习中的焊接实物有很大的不同,要自己对焊板上元件进行布置和焊接电路元件连线,增加了很大的难度。由于采用了电路板,为了使步线美观、简洁,还真是费了我们不少精力,经过不断的修改与讨论,最终结果还比较另人满意。 经过这段课程设计的日子,我发现从刚开始的matlab到现在的pspice,不管是学习哪种软件,都给我留下了很深的印象。由于没有接触,开始学得很费力,但到后来就好了。在每次的课程设计中,遇到问题,最好的办法就是问别人,因为每个人掌握情况不一样,不可能做到处处都懂,发挥群众的力量,复杂的事情就会变得很简单。这一点我深有体会,在很多时候,我遇到的困难或许别人之前就已遇到,向他们请教远比自己在那冥思苦想来得快。

数字电子技术实验心得

数字电子技术实验心得 这学期学了数字电子技术实验,让我了解到了更多知识,加深了对数字电子技术的理解。这是一门理论与实践密切相关的学科,能让我们自己去验证一下书上的理论,自己去设计,这有利于培养我们的实际设计能力和动手能力。 通过数字电子技术实验, 我们不仅仅是做了几个实验,不仅要学会实验技术,更应当掌握实验方法,即用实验检验理论的方法,寻求物理量之间相互关系的方法,寻求最佳方案的方法等等,掌握这些方法比做了几个实验更为重要。 在做实验前,一定要将课本上的知识吃透,因为这是做实验的基础,否则,在老师讲解时就会听不懂,这将使你在做实验时的难度加大,浪费做实验的宝贵时间.做实验时,一定要亲力亲为,务必要将每个步骤,每个细节弄清楚,弄明白,实验后,还要复习,思考,这样,你的印象才深刻,记得才牢固,否则,过后不久你就会忘得一干二净,这还不如不做.做实验时,老师还会根据自己的亲身体会,将一些课本上没有的知识教给我们,拓宽我们的眼界,使我们认识到这门课程在生活中的应用是那么的广泛. 我也学习到一些经验: 1、如果发现了实验中问题所在,此时,我们应该静下心来,冷静地分析问题的所在,有可能存在哪一环节,比如实验原理不正确,或是实验电路需要修正等等,只有这样我们的能力才能有所提高。不要盲目的把导线全部拆掉,然后又重新连接一遍,这样不但浪费时间,而且也无法达到锻炼我们动手动脑能力的目的。 2、在实验过程中,我们也要学会分工协作,不能一味的我行我素或是自己一点也不参与其中。 3、在实验过程中,要互相学习,学习优秀同学的方法和长处,同时也要学会虚心向指导老师请教,当然这要建立在自己独立思考过的基础上。 在实验的过程中我们要培养自己的独立分析问题,和解决问题的能力。培养这种能力的前题是你对每次实验的态度。数字电子技术实验,有利于掌握知识体系与学习方法,有利于激发我们学习的主动性,增强自信心,有利于培养我们的创新钻研的能力,有利于书本知识技能的巩固和迁移。我们认为,在这学期的实

数电课程设计心得(精选多篇)

数电课程设计心得(精选多篇) 第一篇:数电课程设计心得 1、通过这次课程设计,加强了我们动手、思考和解决问题的能力。在整个设计过程中,我们通过这个方案包括设计了一套电路原理和pcb 连接图,和芯片上的选择。这个方案总共使用了74ls248 ,cd4510 各两个,74ls04 ,74ls08 ,74ls20 ,74ls74 ,ne555 定时器各一个。 2、在设计过程中,经常会遇到这样那样的情况,就是心里想老着这样的接法可以行得通,但实际接上电路,总是实现不了,因此耗费在这上面的时间用去很多。 3、我沉得做课程设计同时也是对课本知识的巩固和加强,由于课本上的知识太多,平时课间的学习并不能很好的理解和运用各个元件的功能,而且考试内容有限,所以在这次课程设计过程中,我们了解了很多元件的功能,并且对于其在电路中的使用有了更多的认识。平时看课本时,有时问题老是弄不懂,做完课程设计,那些问题就迎刃而解了。而且还可以记住很多东西。比如一些芯片的功能,平时看课本,这次看了,下次就忘了,通过动手实践让我们对各个元件映象深刻。认识于实践,实践是认识的动力和最终目的,实践是检验真理的唯一标准。所以这个期末测试之后的课程设计对我们的作用是非常大的。 4、经过两个星期的实习,过程曲折可谓一语难尽。在此期间我们也失落过,也曾一度热情高涨。从开始时满富盛激情到最后汗水背后的复杂心情,点点滴滴无不令我回味无长。生活就是这样,汗水预示着结果也见证着收获。劳动是人类生存生活永恒不变的话题。 通过实习,我才真正领略到“艰苦奋斗”这一词的真正含义,我才意识到老一辈电子设计为我们的社会付出。我想说,设计确实有些辛苦,但苦中也有乐,在如今单一的理论学习中,很少有机会能有实践的机会,但我们可以,而且设计也是一个团队的任务,一起的工作可以让我们有说有笑,相互帮助,配合默契,多少人间欢乐在这里洒下,大学里一年的相处还赶不上这十来天的合作,我感觉我和同学们之间的距离更加近了;我想说,确实很累,但当我们看到自己所做的成果时,心中也不免产生兴奋;正所谓“三百六十行,行行出状元”。 我们同样可以为社会作出我们应该做的一切,这有什么不好?我们不断的反问自己。也许有人不喜欢这类的工作,也许有人认为设计的工作有些枯燥,但我们认为无论干什么,只要人生活的有意义就可。 社会需要我们,我们也可以为社会而工作。既然如此,那还有什么必要失落呢?于是我们决定沿着自己的路,执着的走下去。同时我认为我们的工作是一个团队的工作,团队需要个人,个人也离不开团队,必须发扬团结协作的精神。某个人的离群都可能导致导致整项工作的失败。实习中只有一个人知道原理是远远不够的,必须让每个人都知道,否则一个人的错误,就有可能导致整个工作失败。团结协作是我们实习成功的一项非常重要的保证。 而这次实习也正好锻炼我们这一点,这也是非常宝贵的。对我们而言,知识上的收获重要,精神上的丰收更加可喜。挫折是一份财富,经历是一份拥有。这次实习必将成为我人生

模拟电路课程设计心得体会

模拟电路课程设计心得体会 本学期我们开设了《模拟电路》与《数字电路》课,这两门学科都属于电子电 路范畴,与我们的专业也都有联系,且都是理论方面的指示。正所谓“纸上谈 兵终觉浅,觉知此事要躬行。”学习任何知识,仅从理论上去求知,而不去实践、探索是不够的,所以在本学期暨模电、数电刚学完之际,紧接着来一次电 子电路课程设计是很及时、很必要的。这样不仅能加深我们对电子电路的任职,而且还及时、真正的做到了学以致用。 这两周的课程设计,先不说其他,就天气而言,确实很艰苦。受副热带高气压 影响,江南大部这两周都被高温笼罩着。人在高温下的反应是很迟钝的,简言之,就是很难静坐下来动脑子做事。天气本身炎热,加之机房里又没有电扇、 空调,故在上机仿真时,真是艰熬,坐下来才一会会,就全身湿透,但是炎炎 烈日挡不住我们求知、探索的欲望。通过我们不懈的努力与切实追求,终于做 完了课程设计。 在这次课程设计过程中,我也遇到了很多问题。比如在三角波、方波转换成正 弦波时,我就弄了很长时间,先是远离不清晰,这直接导致了我无法很顺利地 连接电路,然后翻阅了大量书籍,查资料(material),终于在书中查到了有关 章节,并参考,并设计出了三角波、方波转换成正弦波的电路图。但在设计数 字频率计时就不是那么一帆风顺了。我同样是查阅资料(material),虽找到了 原理框图,但电路图却始终设计不出来,最后实在没办法,只能用数字是中来 代替。在此,我深表遗憾! 这次课程设计让我学到了很多,不仅是巩固了先前学的模电、数电的理论知识,

而且也培养了我的动手能力,更令我的创造性思维得到拓展。希望今后类似这样课程设计、类似这样的锻炼机会能更多些!

数电课程设计

一、数字电子钟 1.设计目得 (1)培养数字电路得设计能力。 (2)掌握数字电子钟得设计、组装与调试方法。 2.设计内容及要求 (1)设计一个数字电子钟电路。要求: ①按24小时制直接显示“时”、“分”、“秒”。 ②当电路发生走时误差时具有校时功能。 ③具有整点报时功能,报时音响为4低1高,即在59分51秒、53秒、55秒、57秒输出500Hz信号,在59分59秒时输出1000 Hz信号,音响持续时间为1秒,最后一响结束时刻正好为整点。 (2)用中小规模集成电路组成电子钟,并在实验仪上进行组装、调试。 (3)画出各单元电路图、整机逻辑框图与逻辑电路图,写出设计、实验总结报告。 (4)选作部分:①闹钟系统。②日历系统。 3.数字电子钟基本原理及设计方法 数字电子钟得逻辑框图如图1411所示。它由振荡器、分频器、计数器、译码器、显示器、校时电路与整点报时电路组成。振荡器产生得脉冲信号经过分频器作为秒脉冲,秒脉冲送入计数器计数,计数结果通过“时”、“分”、“秒”译码器显示时间。有得数字电子钟还加有定时响铃、日历显示等其它功能,需增加相应得辅助电路。 图1411 数字电子钟得基本逻辑框图 (1)振荡分频电路 振荡器就是数字电子钟内部用来产生时间标准“秒”信号得电路。构成振荡器得电路很多,图1412(a)就是RC环形多谐振荡器,其振荡周期T≈2、2RC。作为时钟,最主要得就是走时准确,这就要求振荡器得频率稳定。要得到频率稳定得信号,需要采用石英晶体振荡器。石英晶体振荡器电路如图1412(b)所示,这种电路得振荡频率只取决于石英晶体本身得固有频率。 图1412 振荡器

(a)RC环形多谐振荡器 (b)石英晶体多谐振荡器 由于石英晶体振荡器产生得频率很高,要得到秒信号,需采用分频电路。例如,振荡器输出4 MHz信号,先经过4分频变成1 MHz,再经过6次10分频计数器,便可得到1Hz得方波信号作为秒脉冲。 (2)计数器 把秒脉冲信号送入秒计数器个位得CP输入端,经过6级计数器,分别得到“秒”个位、十位,“分”个位、十位,以及“时”个位、十位得计时。“秒”、“分”计数器为60进制,“时”计数器为24进制。 24进制计数器如图1413所示。当“时”个位计数器输入端CP来到第10个触发脉冲时,该计数器归零,进位端Q D5向“时”十位计数器输出进位信号。当第24个“时”脉冲(来自“分”计数器输出得进位信号)到来时,十位计数器得状态为0010,个位计数器得状态位0100,此时“时”十位计数器得Q B6与“时”个位计数器得Q C5输出为1。两者相与后送到两计数器得清零端R0A与R0B,通过74LS90内部得R0A与R0B与非后清零,完成24进制计数。同理可构成60进制计数器。 CP 来自分计数器 的进位信号 图1413 24进制计数器 (3)译码显示电路 译码驱动器采用8421 BCD码七段译码驱动器74LS48,显示器采用共阴极数七段数码显示器,有关74LS48与七段显示器得使用方法前面已经作了介绍,这里不再赘述。 (4)校时电路 当数字电子钟出现走时误差时,需要对时间进行校准。实现校时电路得方法很多,如图1414所示电路即可作为时计数器或分计数器得校时电路。 图1414 校时电路 现设用该电路作为分计数器得校时电路,图中采用RS触发器作为无抖动开关。通过开关K得接入位置,可以选择就是将“1 Hz信号”还就是将“来自秒计数器得进位信号”送至分计数器得CP端。当开关K置于B端时,RS触发器得输出、,“来自秒计数器得进位信号”被送至分计数器得CP端,分计数器正常工作;需要校正分计数器时,将开关K置于A端,这时RS触发器得输出、,“1 Hz信号”被送至分计数器得CP端,分计数器在“1Hz信号”得作用下快速计数,直至正确得时间,再将开关K置于B端,达到了校准时间得目得。 (5)整点报时电路 电路得设计要求在差10 s为整点时开始每隔1 s鸣叫一次,每次持续时间为1 s,共鸣叫5次,前4次为低音500 Hz,最后一次为高音1 kHz。因为分计数器与秒计数器从59分51秒计数到59分59秒得过程中,只有秒个位计数器计数,分十位、分个位、秒十位计数器得状态不变,分别为Q D4Q C4Q B4Q A4=0101,Q D3Q C3Q B3Q A3=1001,Q D2Q C2Q B2Q A2=0101,所以Q C4=Q A4=Q D3=Q A3=Q C2=Q A2=1不变。设Y1=Q C4Q A4Q D3Q A3Q C2Q A2,又因为在51、53、55、57秒时Q A1=1,Q D1=0,输出500Hz信号f2;59秒时Q A1=1,Q D1=1,输出1kHz信号f1,由此可写出整点报时电路得逻辑表达式为:

通用版课程设计心得体会

通用版课程设计心得体会 通过此次课程设计,使我更加扎实的掌握了有关xxx方面的知识,在设计过程中虽然遇到了一些问题,但经过一次又一次的思考,一遍又一遍的检查终于找出了原因所在,也暴露出了前期我在这方面的知识欠缺和经验不足。实践出真知,通过亲自动手制作,使我们掌握的知识不再是纸上谈兵。 过而能改,善莫大焉。在课程设计过程中,我们不断发现错误,不断改正,不断领悟,不断获取。最终的检测调试环节,本身就是在践行“过而能改,善莫大焉”的知行观。这次课程设计终于顺利完成了,在设计中遇到了很多问题,最后在老师的指导下,终于游逆而解。在今后社会的发展和学习实践过程中,一定要不懈努力,不能遇到问题就想到要退缩,一定要不厌其烦的发现问题所在,然后一一进行解决,只有这样,才能成功的做成想做的事,才能在今后的道路上劈荆斩棘,而不是知难而退,那样永远不可能收获成功,收获喜悦,也永远不可能得到社会及他人对你的认可! 课程设计诚然是一门专业课,给我很多专业知识以及专业技能上的提升,同时又是一门讲道课,一门辩思课,给了我许多道,给了我很多思,给了我莫大的空间。同时,设计让我感触很深。使我对抽象的理论有了具体的认识。通过这次课程设计,我掌握了xxx原理。 我认为,在这学期的实验中,不仅培养了独立思考、动

手操作的能力,在各种其它能力上也都有了提高。更重要的是,在实验课上,我们学会了很多学习的方法。而这是日后最实用的,真的是受益匪浅。要面对社会的挑战,只有不断的学习、实践,再学习、再实践。这对于我们的将来也有很大的帮助。以后,不管有多苦,我想我们都能变苦为乐,找寻有趣的事情,发现其中珍贵的事情。就像中国提倡的艰苦奋斗一样,我们都可以在实验结束之后变的更加成熟,会面对需要面对的事情。 回顾起此课程设计,至今我仍感慨颇多,从理论到实践,在这段日子里,可以说得是苦多于甜,但是可以学到很多很多的东西,同时不仅可以巩固了以前所学过的知识,而且学到了很多在书本上所没有学到过的知识。通过这次课程设计使我懂得了理论与实际相结合是很重要的,只有理论知识是远远不够的,只有把所学的理论知识与实践相结合起来,从理论中得出结论,才能真正为社会服务,从而提高自己的实际动手能力和独立思考的能力。在设计的过程中遇到问题,可以说得是困难重重,但可喜的是最终都得到了解决。 实验过程中,也对团队精神的进行了考察,让我们在合作起来更加默契,在成功后一起体会喜悦的心情。果然是团结就是力量,只有互相之间默契融洽的配合才能换来最终完美的结果。 此次设计也让我明白了思路即出路,有什么不懂不明白

数电课程设计 电梯控制系统

湖南工程学院 课程设计 课程名称数字电子技术 课题名称简易电梯控制系统 专业自动化 班级1081 学号201013110111 姓名罗超超 指导教师龚志鹏 2012年11月23日湖南工程学院

课程设计任务书课程名称:数字电子技术 题目:简易电梯控制系统 专业班级:自动化1081 学生姓名:罗超超学号:11 指导老师:龚志鹏 审批: 任务书下达日期2012年11月19日星期一设计完成日期2012年11月23日星期五

设计内容与设计要求 一.设计内容: 1、显示方式:以LED数码管形式显示0-3; 2、主要功能:一共有四层楼,楼层标记用0-3表示,假 设每次只有有一层楼有呼叫,按下呼叫按钮后,呼叫楼层指示灯亮,电梯将从当前楼层运行到呼叫楼层,指示灯熄灭; 3、超重报警:挡电梯超重时,报警灯亮,电梯拒绝运行; 4、系统复位:系统能手动复位,复位后,电梯停在第○ 层; 5、其他功能(任选) 二、设计要求: 1、思路清晰,给出整体设计框图和总电路图; 2、单元电路设计,给出具体设计思路和电路; 3、写出设计报告;

主要设计条件 1.提供调试用实验室; 2.提供调试用实验箱和电路所需元件及芯片;3.所用设备及元件需在设计后归还。 说明书格式 1.课程设计封面; 2.任务书; 3.说明书目录; 4.设计总体思路,基本原理和框图(总电路图);5.单元电路设计(各单元电路图); 6.安装、调试步骤; 7.故障分析与电路改进; 8.总结与体会; 9.附录(元器件清单); 10.参考文献; 11.课程设计成绩评分表; 12.设计报告采用16k纸打印;

进度安排 第三周: 星期一:课题内容介绍和查找资料; 星期二~星期五:总体电路设计和分电路设计,电路仿真,修改方案; 第四周: 星期一~星期二:电路设计,电路仿真,修改方案 星期三: 安装、调试电路; 星期四: 验收电路,整理实验室及其它事情,写设计报告,打印相关图纸; 星期五:答辩; 参考文献 1、《电子系统设计》 高等教育出版社马建国主编 2、《电子线路设计、实验、测试》(第二版) 华中理工大学出版社谢自美主编 3、《新型集成电路的应用》---------电子技术基础课程设计 华中理工大学出版社梁宗善主编

模拟电子课程设计心得体会

模拟电子课程设计心得体会 课程设计对我们而言,知识上的收获重要,精神上的丰收更加 可喜。挫折是一份财富,经历是一份拥有。接下来搜集了模拟电子课程设计心得体会,欢迎查看,希望帮助到大家。 通过我们几个人的努力,对于我们新建的电子科技协会,我们 想了很多很多想法,也去工院本部的电子协会取经回来,但总感觉知识有限,关于我们的终极目标去参加电子设计大赛还有很长的路要走,为我们怎么才能学得更快,发展得更好,我们终于想出了一个法子。如何让我们电子协会发展得更快,如何更好地去了解一些电子产品和电器件,如何去为参加电子设计大赛做好准备,我们向老师建议要用选修课,来弥补我们电子刚刚成立没有基础等等问题。王书记老师采纳了我们的建议,向教务处建出了我们的请求,并在指导老师的万般努力与帮助下,我们才开了这个课程。对于这个课程我们都感觉很高兴很兴奋。听了老师讲得是津津有味,头头是道。我们是听得都入神了,感觉到我们要有很长的路要走。我们可以学很多很多,慢慢地我们找到了学习的方向,不在局限于课本上的一点点知识了,我们可以看很多很多想看的知识,了解很多很多想了解的电阻电器元件。 老师从电阻元器件说出,第一节课,说了一些设计方案,比如 电冰箱的保护电路,令大家刮目相看,一个小小有电路有如此巨大的功能,我们都很好奇,从此我们就更有兴趣来了解。真是让人受益匪浅,终于能体会到不同零件的奇妙组合中展现的人类智慧的结晶。知

识的重要性在我心中再次提升,电子产品知识产权的垄断,让我既看到了机遇又看到了挑战,学习是现在我们唯一的行动方针。 电子产品总是让人感觉得非常的神奇,比如收音机,一个小小的盒子竟能发出各种声音,使我们在孤独时给予陪伴,在痛苦时给予安慰,在无聊时给予一丝轻松和愉快。比如手机,使得和遥远的亲人说话,谈判业务等等。电子无处不在,所以我的好奇心使我产生了兴趣,如今我终于可以亲手试一试,焊接我自己的电路板。 在课后我学习了很多的东西,使我眼界打开,感受颇深。简单的焊接使我了解到人生学习的真谛,课程虽然结束了,但学习还没结束,电子的世界将为我打开,只有继续以电子学习的感受而获得的指导思想走下去,在事业的途中打开另一扇门。 之后我们做出来感觉到很有趣很轻松,通过我们去查找资料,我懂得了收音机的基本原理同时也学到了很多有关电子的专业知识。在实习过程中不断提高自己的动手能力之余也体会到了实践的乐趣。因为在实践时往往会遇到很多问题,遇到问题后要细心检查才能发现其中的错误,最后就要想办法去解决这些问题。这样的一个过程不知不觉地使我的实践能力提高,为以后学习、做实验打下基础! 具有良好的职业素质和较高的职业技能是构成二十一世纪,面向现代化企业生产、管理一线的高素质技术人员的两个基本要素。职业素质的提高与职业技能的掌握都具有养成教育的特征,应该贯穿到教育的整个过程。电子工艺学习是根据电子信息类高级人才所需的能力结构而规划的,是技术基础能力的训练,也就是为了培养学生基础

数字电压表课程设计实验报告

自动化与电气工程学院 电子技术课程设计报告 题目数字电压表的制作 专业 班级 学号 学生姓名 指导教师 二○一三年七月

一、课程设计的目的与意义 1.课程设计的主要目的,是通过电子技术综合设计,熟悉一般电子电路综合设计过程、设计要求、完成的工作内容和具体的设计方法。 2.同时了解双积分式A/D转换器ICL7107的性能及其引脚功能,熟悉集成电路ICL7107构成直流数字电压表的使用方法,并掌握其在电路中的工作原理。 3.通过设计也有助于复习和巩固以往的模电、数电内容,达到灵活应用的目的。在完成设计后还要将设计的电路进行安、调试以加强学生的动手能力。在此过过程中培养从事设计工作的整体观念。 4.利用双积分式A/D转换器ICL7107设计一数字电压表,量程为-1.99—+1.99,通过七段数码管显示。 二、电路原理图 数字电压表原理图

三、课程设计的元器件 1.课程设计所使用的元器件清单: 2.主要元器件介绍 (1)芯片ICL7107: ICL7107的工作原理 双积分型A/D转换器ICL7107是一种间接A/D转换器。它通过对输入模拟电压和参考电压分别进行两次积分,将输入电压平均值变换成与之成正比的时间间隔,然后利用脉冲时间间隔,进而得出相应的数字性输出。 它的原理性框图如图所示,它包括积分器、比较器、计数器,控制逻辑和时钟信号源。积分器是A/D转换器的心脏,在一个测量周期内,积分器先后对输入信号电压和基

准电压进行两次积分。比较器将积分器的输出信号与零电平进行比较,比较的结果作为数字电路的控制信一号。时钟信号源的标准周期Tc 作为测量时间间隔的标准时间。它是由内部的两个反向器以及外部的RC组成的。其振荡周期Tc=2RCIn1.5=2.2RC 。 ICL7106A/D转换器原理图 计数器对反向积分过程的时钟脉冲进行计数。控制逻辑包括分频器、译码器、相位驱动器、控制器和锁存器。 分频器用来对时钟脉冲逐渐分频,得到所需的计数脉冲fc和共阳极LED数码管公共电极所需的方波信号fc。 译码器为BCD-7段译码器,将计数器的BCD码译成LED数码管七段笔画组成数字的相应编码。 驱动器是将译码器输出对应于共阳极数码管七段笔画的逻辑电平变成驱动相应笔画的方波。 控制器的作用有三个:第一,识别积分器的工作状态,适时发出控制信号,使各模拟开关接通或断开,A/D转换器能循环进行。第二,识别输入电压极性,控制LED 数码管的负号显示。第二,当输入电压超量限时发出溢出信号,使千位显示“1" ,其余码全部熄灭。 钓锁存器用来存放A/D转换的结果,锁存器的输出经译码器后驱动LED 。它的每个测量周期自动调零(AZ)、信号积分(INT)和反向积分(DE)三个阶段。

相关文档