文档库 最新最全的文档下载
当前位置:文档库 › 计算机组成原理 整理版本

计算机组成原理 整理版本

计算机组成原理 整理版本
计算机组成原理 整理版本

第一次作业

1.给出总线的定义。

答:总线是能为多个部件分时共享的一组信息传送线路。

2.硬件和软件在逻辑上是等效的。

3.某总线数据通路宽度为64位,总线时钟频率为133MHz,则该总线的数据传输率为多少?

解:总线的数据传输率=64*133M/8=1064MB/S

5.某浮点数字长32位,其中阶码8位,含一位阶符,补码表示,以2为底;尾数24位,含一位数符,补码表示,规格化,请给出该浮点数的典型值及其对应的浮点数代码。

6.浮点数的符号与尾数的符号一致;阶码的位数影响浮点数的表示范围;尾数的位数影响浮点数的表示精度。

第二次作业

1.指令中为什么使用隐地址方式。

答:简化地址结构。

2.简述堆栈操作的特点,并举例说明。

答:先进后出(或后进先出),例子略。

3. 指令字长16位,可含有3、2、1或0个地址,每个地址占4位。请给出一个操作码扩展方案。

4.RISC和CISC的中文名称是什么。

RISC:精简指令集系统计算机

CISC:复杂指令集系统计算机

5.简述80%和20%规律。

答:80%的指令是简单指令,占运行时间的20%;20%的指令是复杂指令,占运行时间的80%。

6.简化地址结构的基本方法是什么?

答:采用隐地址

7.如何用通用I/O指令实现对各种具体设备的控制?

答:

1)I/O指令中留有扩展余地

2)I/O接口中设置控制/状态寄存器

8.什么是I/O端口?

答:I/O接口中的寄存器

9.对I/O设备的编址方法有哪几种?请简要解释。

1)单独编址:I/O地址空间不占主存空间,可与主存空间重叠。

具体分为编址到寄存器和编址到设备两种。

编址到设备:每个设备有各自的设备编码;I/O指令中给出设备码,并指明访问该设备的哪个寄存器。

编址到寄存器:为每个寄存器(I/O端口)分配独立的端口地址;I/O指令中给出端口地址。

2)统一编址:为每个寄存器(I/O端口)分配总线地址;访问外设时,指令中给出总线地址。I/O端口占据部分主存空间。10.用堆栈存放返回地址,则转子指令和返回指令都要使用的寄存器是什么?

答:堆栈指针SP

11.给出先变址后间址、先间址后变址和相对寻址三种寻址方式对有效地址的计算方法。

先变址后间址:EA =((R)+D)

先间址后变址:EA =(R)+(D)

相对寻址:EA =(PC)±D

12.各种寻址方式的操作数放于何处,如何形成操作数的有效地址。

答:除寄存器直接寻址方式操作数放在寄存器中之外,其它寻址方式操作数均在主存中。

立即寻址:指令中提供操作数

直接寻址:指令直接给出操作数地址

寄存器寻址:指令中给出寄存器号就是有效地址

间接寻址:指令中给出间址单元地址码(操作数地址的地址),按照该地址访问主存中的某间址单元,从中取出操作数的地址寄存器间址:由指令给出寄存器号,所指定的寄存器中存放着操作数地址。

自增型寄存器间址:由指令给出寄存器号,所指定的寄存器中存放着操作数地址。

自减型寄存器间址:指令中给出寄存器号,被指定的寄存器内容减1后作为操作数地址

变址寻址:指令给出一个寄存器号和一个地址量,寄存器内容与地址量之和为有效地址

基址寻址:基址寄存器内容与形式地址相加,其和为操作数有效地址

基址加变址:指令给出两个寄存器号和一个地址量,寄存器内容与地址量之和为有效地址

相对寻址:指令给出位移量,PC内容与位移量之和为有效地址

页面寻址:指令给出位移量,PC的高位部分与位移量拼接,形成有效地址

先变址后间址:EA =((R)+D)

先间址后变址:EA =(R)+(D)

13.

14.I/O指令的设置方法有哪几种?请简要解释。

答:

1)设置专用I/O指令(显式I/O指令):针对单独编址,用I/O指令访问I/O端口。

2)用传送指令实现I/O操作(隐式I/O指令):针对统一编址,用传送指令访问I/O端口。不设专用I/O指令。

3)通过I/O处理机进行I/O操作

15.转子与中断最大的区别在什么地方?

答:中断具有随机性,而转子没有。

16.子程序中最后一条指令是什么指令?

答:返回指令。

17.用堆栈存放返回地址,则转子指令和返回指令都要使用的寄存器是什么?

答:堆栈指针SP

18.CPU内部的IR、PSW、PC、MAR、MBR的中文名称是什么?里面存放的是什么内容?

答:IR指令寄存器,存放现行指令;

PSW程序状态字寄存器;反映现行程序状态,指示程序工作方式

MAR地址寄存器,存放被访问单元的地址

MBR数据缓冲寄存器,用来存放CPU与主存之间交换的信息

19.PSW与控制/状态字寄存器的区别是什么?

PSW在CPU中,反映程序运行状态,指示程序工作方式;控制/状态字在接口中,反映CPU命令和外部设备状的状态。

第三次作业

1.运算器采用单组内总线、分立寄存器结构和单组内总线、集成寄存器结构时,其输入端设置的部件名称是什么?

答:采用单组内总线、分立寄存器结构时在输入端设置选择器;采用单组内总线、集成寄存器结构时在输入端设置暂存器。

2.简述同步控制方式和异步控制方式的定义、特点、优缺点和应用场合,并说明两者最核心的区别是什么?

答:

同步控制方式:

定义:各项操作受统一时序控制。

特点:有明显时序时间划分,时钟周期长度固定,各步操作的衔接、各部件之间的数据传送受严格同步定时控制。

优点:时序关系简单,时序划分规整,控制不复杂;控制逻辑易于集中,便于管理。

缺点:时间安排不合理。

应用场合:用于CPU内部、设备内部、系统总线操作(各挂接部件速度相近,传送时间确定,传送距离较近)。

异步控制方式:

定义:各项操作按不同需要安排时间,不受统一时序控制。

特点:无统一时钟周期划分,各操作间的衔接和各部件之间的信息交换采用异步应答方式。

优点:时间安排紧凑、合理。

缺点:控制复杂。

应用场合:用于异步总线操作(各挂接部件速度差异大,传送时间不确定,传送距离较远)。

两者最核心的区别是:有无统一时序划分。

3.什么是主设备,什么是从设备?

答:

主设备:申请并掌握总线权的设备。

从设备:响应主设备请求的设备。

4.什么是总线周期?

答:经总线传送一次数据所用的时间(包括送地址、读/写)

5.控制器的分类

答:组合逻辑控制器和微程序控制器。

6.主机和外设的连接方式

答:辐射式、总线式和通道式。

7.直接程序传送方式中,可以对外设的状态进行了抽象,请简述三个状态及其转换;并指明在何种状态下CPU方能与外设交换数据。

答:三个状态及其转换如图所示

在结束状态下,CPU方能与外设交换数据。

8.简述中断的定义。

答:CPU暂时中止现行程序的执行,转去执行为某个随机事态服务的中断处理程序;处理完毕后自动恢复原程序的执行。

9.CPU在何时响应中断请求?何时响应DMA请求?

答:在一条指令结束时响应中断请求;一个总线周期结束时响应DMA请求。

10.中断的实质是什么?

答:中断的实质是程序切换。

11.简述中断与DMA的相同点和区别。

答:

DMA与中断的相同点:能响应随机请求;可并行操作。

区别:中断用程序实现中、低速I/O传送;能处理复杂事态;一条指令结束时响应请求,其实质是程序切换;DMA用硬件实现高速、简单I/O传送;一个总线周期结束时响应请求,其实质是总线权切换。

12.CPU如何实现对中断的屏蔽和中断的允许。

答:通过送屏蔽字实现对中断的屏蔽;通过开/关中断实现对中断的允许。

13.DMA的中文名称和定义。

答:DMA的中文名称是直接存储器存取。定义为:直接依靠硬件实现主存与I/O间的数据传送,传送期间不需CPU程序干预。

14.进行数据传输前,CPU发送的DMA初始化信息有哪些?

答:传送操作类型、主存首址、交换量、外设寻址信息。

第四次作业

1.分别使用原码一位乘和补码一位乘,计算+0.1001*-0.1110的值,并给出规范化的步骤。

解:

1)原码一位乘

A=00.0000,B=00.1001,C=.1110

步骤条件操作 A C Cn

00.0000 .1110

(1) Cn=0 +0 +00.0000

00.0000

→00.0000 0.111

(2) Cn=1 +B + 00.1001

00.1001

→00.0100 10.11

(3) Cn=1 +B + 00.1001

00.1101

→00.0110 110.1

(4) Cn=1 +B + 00.1001

00.1111

→00.0111 1110.

故[X*Y]原=1.01111110

2)补码一位乘

A=00.0000,B=00.1001,-B=11.0111,C=1.0010

步骤条件操作 A C CnCn+1

CnCn+1 00.0000 1.00100 (1) 00 +0 +00.0000

00.0000

→00.0000 01.0010

(2) 10 -B + 11.0111

11.0111

→11.1011 101.001

(3) 01 +B + 00.1001

00.0100

→00.0010 0101.00

(4) 00 +0 + 00.0000

00.0010

→00.0001 00101.0

(5) 10 -B + 11.0111

11.1000

故[X*Y]补=1.10000010

2.请给出溢出的三种判别逻辑,并指明各符号的含义。答:

1)溢出= 操作数的符号位SA和SB

结果符号Sf

2)溢出= 符号位进位Cf

尾数最高位进位C

3)溢出=

结果的第一个符号位Sf1

结果的第二个符号位Sf2

3.第三章练习题的第2题的(1)、(4);第3题的(2)、(3)。解:第2题(1)00.110011

+00.101101

01.100000 故正溢

(4)11.001101

+11.010011

10.100000负溢

第3题X补-Y补=X补+[-Y]补

(2)[-Y]补=00.101101

00.110110

+00.101101

01.100011正溢

(3)[-Y]补=11.001100

11.100011

+11.001100

10.101111负溢

4.请叙述原码不恢复余数除法和补码不恢复余数除法的上商规则。

答:原码不恢复余数除法根据余数符号判断上商,为正商1,为负商0;补码不恢复余数除法根据余数和除数的符号判断上商,同号,商1,异号,商0。

5.给出浮点数加减运算、浮点数乘法运算和浮点数除法运算的步骤。

答:浮点加减运算步骤:

1)检查能否简化操作;

2)对阶;

3)尾数加减;

4)结果规格化。

浮点乘法运算步骤:

1)检查操作数是否为0;

2)阶码相加;

3)尾数相乘;

4)结果规格化。

浮点除法运算步骤

1)检查操作数是否为0;

2)被除数的尾数AM与除数的BM尾数满足| AM | <| BM |;

3)阶码相减;

4)尾数相除;

5)结果不再规格化。

6.浮点数加减运算中,什么是对阶?为什么要对阶?如何实现?

答:使两数阶码相等称之为对阶。因为阶码不同时,小数点实际位置没有对齐,尾数对应权值不相同,无法直接运算。小阶向大阶对齐,小阶阶码加1,尾数右移1位,直到两个数的阶码相同。

7.采用并行进位链传递进位信号时,请给出进位信号C1、C2、C3和C4的逻辑表达式。

答:

C1 = G1 + P1C0

C2 = G2 + P2G1 + P2P1C0

C3 = G3 + P3G2 + P3P2G1 + P3P2P1C0

C4 = G4 + P4G3 + P4P3G2 + P4P3P2G1+ P4P3P2P1C0

8.请使用181和182芯片,设计一个32位的ALU,要求采用组内并行、组间并行的进位链传递方式。

答:

第五次作业

1.实际计算机的时序控制方式往往以同步方式为基础,然后进行一些变化,请举出三个同步方式变化的例子。

答:①不同指令安排不同时钟周期数②总线周期中插入延长周期③同步方式引入异步应答

2.画出模型机的数据通路结构,并指明各部件的作用。

答:

选择器A、B:选择参加运算的操作数

ALU:完成各种算术运算和逻辑运算

移位器:对ALU运算结果进行左移、右移、直传、高低字节交换操作

R0~R3通用寄存器:提供运算数据、存放运算结果

C暂存器:暂存来自主存的源地址或源数据

D暂存器:暂存来自主存的目的地址或目的数

IR指令寄存器:存放现行指令

PSW程序状态字寄存器:反映现行程序状态,指示程序工作方式

MAR地址寄存器:存放被访问单元的地址

MDR数据缓冲寄存器:用来存放CPU与主存之间交换的信息

SP堆栈指针:存放堆栈的栈顶地址

3.结合模型机的数据通路结构,说明各类信息的传送路径。

指令信息:M→DB→IR

指令地址:PC→A→ALU→移位器→内总线→MAR

指令地址加1:PC→A→ALU→移位器→内总线→PC C0

转移地址(寄存器寻址):R0→A/B→ALU→移位器→内总线→PC

转移地址(寄存器间址):R0→A/B→ALU→移位器→内总线→MAR→AB→M→DB→MDR→B→ALU→移位器→内总线→PC

操作数地址(寄存器寻址):R0

操作数地址(寄存器间址):R0→A/B→ALU→移位器→内总线→MAR

操作数地址(变址):PC→A→ALU→移位器→内总线→MAR→AB→M→DB→MDR→B→ALU→移位器→内总线→C→B→ALU→移位器→内总线→MAR PC→A

数据信息(R→R):R0→A/B→ALU→移位器→内总线→R1

数据信息(R→M):R0→A/B→ALU→移位器→内总线→MDR→DB→M

数据信息(M→R):M→DB→MDR→B→ALU→移位器→内总线→R1

数据信息(M→M):M(源)→DB→MDR→B→ALU→移位器→内总线→C

(计算目的地址) C→A/B→ALU→移位器→内总线→MDR→DB→M

数据信息(R→I/O):R0→A/B→ALU→移位器→内总线→MDR→DB→I/O

数据信息(I/O→R):I/O→DB→MDR→B→ALU→移位器→内总线→R1

数据信息(I/O→M):DMA方式I/O←→DB←→M

第六次作业

教材P168页第11题的1、2、3、4、5、10、17、18、19、20,其中第2小题需要拟定指令流程和操作时间表,其它只需要拟定指令流程。

解:(1)

MOV (R0),(SP)+

FT0:M→IR,PC+1→PC STO:SP→MAR

ST1:M→MDR→C ST2:SP+1→SP DT0:R0→MAR ET0:C→MDR ET1:MDR→M ET2:PC→MAR

(2)MOV (R1)+,X(R0)

FT0:M→IR, EMAR、R、SIR

PC+1→PC PC→A、输出A、DM、CPPC、1→ST、CPT( )、CPFT( )~CPET( )

ST0:PC→MAR PC→A、输出A、DM、CPMAR、T+1、CPT( )

ST1:M→MDR→C EMAR、R、SMDR、MDR→B、输出B、DM、CPC、T+1、CPT( )

ST2:PC+1→PC PC→A、A+1、DM、CPPC、T+1、CPT( )

ST3:R0+C→MAR R0→A、C→B、A+B、DM、CPMAR、T+1、CPT( )

ST4:M→MDR→C EMAR、R、SMDR、MDR→B、输出B、DM、CPC、1→DT、CPT( )、CPFT( )~CPET( ) DT0:R1→MAR R1→A、输出A、DM、CPMAR、T+1、CPT( )

DT1:R1+1→R1 R1→A、A+1、DM、CPR1、1→ET、CPT( )、CPFT( )~CPET( )

ET0:C→MDR C→A、输出A、DM、CPMDR、T+1、CPT( )

ET1:MDR→M EMAR、W、T+1、CPT( )

ET2:PC→MAR PC→A、输出A、DM、CPMAR、1→ST、CPT( )、CPFT( )~CPET( )

(3)

MOV R2,(PC)+

FT0:M→IR,PC+1→PC STO:PC→MAR

ST1:M→MDR→C ST2:PC+1→PC ET0:C→R2 ET1:PC→MAR

(4)

MOV –(SP),(R3)

FT0:M→IR,PC+1→PC ST0:R3→MAR

ST1:M→MDR→C DT0:SP-1→SP、MAR ET0:C→MDR

ET1:MDR→M

ET2:PC→MAR

(5)

ADD R1,X(R0)

FT0:M→IR,PC+1→PC ST0:PC→MAR

ST1:M→MDR→C ST2:PC+1→PC ST3:R0+C→MAR ST4:M→MDR→C ET0:C+R1→R1 ET1:PC→MAR

(10)

INC X(PC)

FT0:M→IR,PC+1→PC DTO:PC→MAR

DT1:M→MDR→D DT2:PC+1→PC DT3:PC+D→MAR DT4:M→MDR→D ET0:D+1→MDR ET1:MDR→M

ET2;PC→MAR

(17)

JMP R0

FT0:M→IR,PC+1→PC

ET0:R0→PC、MAR

(18)

JMP X(PC) FT0:M→IR,PC+1→PC

ET0:PC→MAR

ET1:M→MDR→C

ET2:PC+C→PC、MAR (19)

RST (SP)+

FT0:M→IR,PC+1→PC ET0:SP→MAR ET1:SP+1→SP

ET2:M→MDR→PC、MAR

(20)

JSR (R1)

FT0: M→IR,PC+1→PC ST0:R1→MAR

ST1:M→MDR→C ET0:SP-1→SP、MAR ET1:PC→MDR

ET2:MDR→M

ET3:C→PC、MAR

2.什么是指令周期。

答:从主存中取出一条指令加上执行这条指令的时间称为指令周期。

3.按照由大到小的顺序给出组合逻辑控制器的三级时序。

答:工作周期、时钟周期、工作脉冲

4.组合逻辑控制器有哪些缺点,微程序控制器如何针对这些缺点对其进行了改进?答:组合逻辑控制器的缺点为:

①设计不规整,设计效率较低;控制器核心结构零乱,不便于检查和调试。

②不易修改与扩展指令系统功能。

改进:

引入了程序技术,使设计规整;

引入了存储逻辑,使功能易于扩展。

5.微程序控制的基本思想是什么?

答:

①若干微命令编制成一条微指令,控制实现一步操作;

②若干微指令组成一段微程序,解释执行一条机器指令;

③微程序事先存放在控制存储器中,执行机器指令时再取出。

6.简述控制存储器存储的内容,以及与主存的区别。

答:控制存储器中存放微程序。

与主存的区别:

①控制存储器在CPU中、而主存不是;

②控制存储器是一个ROM,而主存是ROM和RAM

③控制存储器容量比主存小

④控制存储器字长比主存长

⑤控制存储器速度比主存快

7.微指令可分为哪两部分?各自作用是什么?

答:微指令可分为

微命令字段(或微操作控制字段)和微地址字段(或顺序控制字段)

微命令字段:提供一步操作所需的微命令。

微地址字段:指明后续微地址的形成方式,提供微地址的给定部分。

8.采用分段直接编译法时,微命令分组的原则是什么?

答:同类操作中互斥的微命令放同一字段。

9什么是功能转移?

答:根据机器指令操作码找到对应微程序入口地址的过程称为功能转移。

10.后续微地址的形成方式有哪些?

答:有增量方式和断定方式两种。

第七次作业

1. 试从速度、容量、价格三个角度比较cache、主存、硬盘。

答:按照cache→主存→硬盘的顺序

速度越来越慢、容量越来越大、价格越来越便宜

2. 说明cache、主存、辅存三者的作用(即其中存放什么信息)。

答:Cache:存放CPU在当前一小段时间内多次使用的程序和数据。

主存:主要存放CPU当前使用的程序和数据。

辅存:存放大量的后备程序和数据。

3. 简述随机存取的两点含义。

答:①可按地址访问存储器中的任一单元;②访问时间与单元地址无关。

4. 简述存取时间和存取周期的定义。

答:存取时间:从存储器收到读/写申请命令,到从存储器取出/写入信息所需的时间。

存取周期:存储器作连续访问操作过程中一次完整的存取操作所需的全部时间。

5. 磁带、主存、硬盘、光盘四种存储器分属于随机存取存储器、顺序存取存储器、直接存取存储器中的哪一类?

答:主存属于随机存取存储器;

磁带属于顺序存取存储器;

硬盘、光盘属于直接存取存储器。

6. 引入cache的目的是什么?引入虚拟存储器的目的是什么?

答:引入cache的目的是为了提高存储器的速度,匹配CPU与主存之间的速度差异。

引入虚拟存储器的目的是为了扩大存储器的容量。

第八次作业

1.已知CPU地址总线A15~A0(低)。用ROM芯片(4K×4位/片)和RAM芯片(2K×8位/片)组成一个半导体存储器,按字节编址。其中ROM区地址从3000H~3FFFH,RAM区地址从6000H~73FFH。请回答下列问题:

(1)组成该存储器需用多少块ROM芯片和多少块RAM芯片?(2分)

ROM区:4KB RAM区:5KB

所以需4K×4位/片的ROM芯片2片;需2K×8位/片的RAM芯片3片。

(2)各芯片需连入哪几根地址线?(2分)

4K×4位/片的ROM需连A0~A11;2K×8位/片的RAM需连A0~A10

(3)分别写出各片选信号的逻辑式。(4分)

采用全译码

设ROM芯片片选为CS0、三片RAM分别为CS1、CS2、CS3

则CS0=

CS1=

CS2=

CS3=

(4)画出组成的存储器逻辑框图(4分)

2. 设计一半导体存储器,其中ROM 区4KB ,选用ROM 芯片(4K ×4位/片);RAM 区3KB ,选用RAM 芯片(2KB/片和1K ×4位/片)。地址总线A15~A0(低),双向数据总线D7~D0(低),读/写线R/W (1) 组成该存储器需用多少块ROM 芯片和多少块RAM 芯片? 4K ×4位/片ROM 芯片2片 2KB/片RAM 芯片1片 1K ×4位/片RAM 芯片2片 (2) 各芯片需连入哪几根地址线? 4K ×4位/片ROM 芯片需连A0~A11 2KB/片RAM 芯片需连A0~A10 1K ×4位/片RAM 芯片需连A0~A9 (3)给出芯片地址分配和片选逻辑式

采用部分译码,存储器共7KB ,需要地址线A0~A12共13根 A12 A11 A10 A9 A8 A7 A6 A5 A4 A3 A2 A1 A0

0 0 0 0 0 0 0 0 0 0 0 0 0 4K×4 4K×4 0 1 1 1 1 1 1 1 1 1 1 1 1

1 0 0 0 0 0 0 0 0 0 0 0 0 2KB 1 0 1 1 1 1 1 1 1 1 1 1 1

1 1 0 0 0 0 0 0 0 0 0 0 0 1K×4 1K×4 1

1

1

1

1

1

1

1

1

1

1

1

设ROM 芯片片选为CS0、2KB 的RAM 和1K ×4的RAM 片选分别为CS1、CS2 CS0=

12A

CS1=

1112A A

CS2=

101112A A A

(4) 画出该存储器逻辑框图(各芯片信号线的连接及片选逻辑电路,片选低电平有效)。 注意:ROM 的数据端是单向(出),不使用R/W ;

3.动态存储器为什么需要刷新?

答:动态存储器依靠电容上的电荷存储信息。平时无电源供电,时间一长电容电荷会泄放,需定期向电容补充电荷,以保持信息不变。

4.简述刷新与重写的区别。 答:

刷新:非破坏性读出的动态M ,需补充电荷以保持原来的信息。 重写:破坏性读出后重写,以恢复原来的信息。

5.常用的刷新方式有哪些,其中哪种方式最常用,一般如何实现?

常用的刷新方式有集中刷新、分散刷新、异步刷新;其中异步刷新最常用,一般用DMA 控制器实现。

6.P246第10题:若对磁表面存储器写入代码10011,请画出NRZ-1制、PE 制、FM 制、M2F 制等记录方式的写入电流波形。假设起始电流为负。

第九次作业

1.中断的主要特点是什么?

答:中断的主要特点是随机性。

2.简述中断的分类。

答:

1)根据处理中断的繁简程度分为程序中断和简单中断

2)根据中断源的性能分为故障中断和正常中断

3)根据中断的进入方式分为自愿中断和强迫中断

4)根据中断源所在的位置分为内中断和外中断

5)根据中断源的可屏蔽与否可分为可屏蔽中断和不可屏蔽中断。

6)根据获得服务程序入口地址的方式可分为向量中断和非向量中断。

3.什么是中断向量?中断向量表?向量地址?向量中断?

答:

中断向量:所有的中断服务程序入口地址和状态字在一起称为中断向量。

中断向量表:存放中断向量的表。

向量地址:访问向量表的地址(指向中断向量的首址)

向量中断:将服务程序入口(中断向量)组织在中断向量表中;CPU响应时由硬件直接产生相应向量地址,按地址查表,取得服务程序入口,转入相应服务程序。

4.简述中断响应过程。

答:

发响应信号INTA,进入中断周期→关中断,保存断点及PSW→获得中断号,转换为向量地址,查向量表→取中断向量,转中断服务程序

5.简述中断处理过程。

答:

1)单级中断处理过程:保护现场→具体服务处理→恢复现场→开中断、返回

2)多重中断处理过程:保护现场、屏蔽字→送新屏蔽字、开中断→具体服务处理→关中断→恢复现场及原屏蔽字→开中断、返回

6.某主机连接两个输入设备,两个输入设备(设备1和设备2,设备1的优先级比设备2的优先级高)按串行方式工作,并共用一个中断类型码。CPU向设备发出的命令包括清除、选通、启动;设备状态可抽象为空闲、忙、完成。试为两个设备设计一个公用的中断接口,中断控制逻辑采用8259中断控制器。

(1)画出该接口寄存器级粗框图。

(2)拟定命令字格式和状态字格式

(3)为了动态改变设备2的优先级,初始化时设备1和设备2的屏蔽位该分别设置为0还是1?(0开放,1屏蔽)

答:

1)

对应位为1命令有效,为0

命令无效。 状态字格式 对应位为1,表示处于对应状态,为0则没有处于对应状态。 3) 设备1:1

设备2:0 第十次作业

1.某CRT 字符显示器的显示规格60行×100列,字符点阵7列× 9行,字符区9× 14。 (1)显示缓冲存储器的内容是什么?基本容量为多少字节(单色)? 显存中存放一帧待显示字符的编码。基本容量为60×100=6000B=6KB

(2)需要设置哪几级计数器?写出各级计数器的分频关系(不考虑过量扫描和回扫) 需要设置点计数器、字符计数器、线计数器和行计数器。分频关系如下: 点计数器 9:1 字符计数器 100:1 线计数器 14:1 行计数器 60:1

(3)何时发出水平同步信号?何时发出垂直同步信号? 字符计数器计数值满发水平同步信号。 行计数器计数值满发垂直同步信号。

(4) 若显示器的帧频为60HZ ,则显示器的点频为多少? 点频=60×60×14×100×9=45360000HZ=45.36MHZ 2.某CRT 图形显示器的显示规格800点× 600线。

(1)显示缓冲存储器的内容是什么?基本容量为多少字节(单色)

外 设 1 外 设 2

显存中存放一帧待显示图形的像点代码。基本容量为800*600/8=60000=60KB

(2)需要设置哪几级计数器?写出各级计数器的分频关系(不考虑过量扫描和回扫)

需要设置点计数器、字节计数器和线计数器。分频关系如下:

点计数器8:1

字节计数器100:1

线计数器600:1

(3)何时发出水平同步信号?何时发出垂直同步信号?

字节计数器计数值满发水平同步信号。

线计数器计数值满发垂直同步信号。

(4)若为四色显示则又如何?

四色显示时每一个点需要2位二进制数表示,则

显存容量为800*600*2/8=120KB

各计数器的分频关系如下:

点计数器4:1

字节计数器200:1

线计数器600:1

(5)若显示器的帧频为60HZ,则显示器的点频为多少?若光栅扫描采用逐行扫描的方法,则显示器的场频为多少?行频为多少?点频=60HZ*600*100*8=60HZ*600*200*4=28800000HZ=28.8MHZ

场频=帧频=60HZ

行频=线数*场频=600*60HZ=36KHZ

3.请给出CGA、EGA、MCGA、VGA、XGA的中文名称。

答:

CGA:彩色图形适配器

EGA:增强型图形适配器

MCGA:多色图形适配器

VGA:视频图形阵列

XGA:扩展图形阵列

4.给出显示器两种显示方式分辨率的含义。

答:A/N方式:一帧画面显示的字符数,表示为字符行数×列数

APA:一帧画面显示的像点数,表示为每线点数×线数

5.电子束的扫描方式分为哪两类?

答:随机扫描和光栅扫描

6.光栅扫描的方法分为哪两种?

答:逐行扫描和隔行扫描。

7.字符发生器中存放什么内容?

答:字符的点阵代码

8.设某字符发生器可提供60个字符的点阵,每个字符点阵为8*12,请计算该字符发生器的容量。

答:60*12=720B

计算机组成原理第五版 白中英(详细)第5章习题参考答案

第5章习题参考答案 1.请在括号内填入适当答案。在CPU中: (1)保存当前正在执行的指令的寄存器是(IR ); (2)保存当前正在执行的指令地址的寄存器是(AR ) (3)算术逻辑运算结果通常放在(DR )和(通用寄存器)。 2.参见图5.15的数据通路。画出存数指令“STO Rl,(R2)”的指令周期流程图,其含义是将寄存器Rl的内容传送至(R2)为地址的主存单元中。标出各微操作信号序列。 解: STO R1, (R2)的指令流程图及微操作信号序列如下:

STO R1, (R2) R/W=R DR O, G, IR i R2O, G, AR i R1O, G, DR i R/W=W 3.参见图5.15的数据通路,画出取数指令“LAD (R3),R0”的指令周期流程图,其含义是将(R3)为地址主存单元的内容取至寄存器R2中,标出各微操作控制信号序列。 解: LAD R3, (R0)的指令流程图及为操作信号序列如下:

PC O , G, AR i R/W=R DR O , G, IR i R 3O , G, AR i DR O , G, R 0i R/W=R LAD (R3), R0 4.假设主脉冲源频率为10MHz ,要求产生5个等间隔的节拍脉冲,试画出时序产生器的逻辑图。 解:

5.如果在一个CPU 周期中要产生3个节拍脉冲;T l =200ns ,T 2=400ns ,T 3=200ns ,试画出时序产生器逻辑图。 解:取节拍脉冲T l 、T 2、T 3的宽度为时钟周期或者是时钟周期的倍数即可。所以取时钟源提供的时钟周期为200ns ,即,其频率为5MHz.;由于要输出3个节拍脉冲信号,而T 3的宽度为2个时钟周期,也就是一个节拍电位的时间是4个时钟周期,所以除了C 4外,还需要3个触发器——C l 、C 2、C 3;并令 211C C T *=;321C C T *=;313C C T =,由此可画出逻辑电路图如下:

计算机组成原理_第四版课后习题答案(完整版)[]

第一章 1.比较数字计算机和模拟计算机的特点 解:模拟计算机的特点:数值由连续量来表示,运算过程是连续的;数字计算机的特点:数值由数字量(离散量)来表示,运算按位进行。两者主要区别见 P1 表 1.1 。 2.数字计算机如何分类?分类的依据是什么? 解:分类:数字计算机分为专用计算机和通用计算机。通用计算机又分为巨型机、大型机、 中型机、小型机、微型机和单片机六类。分类依据:专用和通用是根据计算机的效率、速度、价格、运行的经济性和适应性来划分的。 通用机的分类依据主要是体积、简易性、功率损耗、性能指标、数据存储容量、 指令系统规模和机器价格等因素。 3.数字计算机有那些主要应用?(略) 4.冯 . 诺依曼型计算机的主要设计思想是什么?它包括哪些主要组成部分? 解:冯 . 诺依曼型计算机的主要设计思想是:存储程序和程序控制。存储程序:将解题的程序(指令序列)存放到存储器中;程序控制:控制器顺序执行存储的程序,按指令功能控制全机协调地完成运算任务。 主要组成部分有:控制器、运算器、存储器、输入设备、输出设备。 5.什么是存储容量?什么是单元地址?什么是数据字?什么是指令字? 解:存储容量:指存储器可以容纳的二进制信息的数量,通常用单位KB MB GB来度量,存储 容 量越大,表示计算机所能存储的信息量越多,反映了计算机存储空间的大小。单元地址:单元地址简称地址,在存储器中每个存储单元都有唯一的地址编号,称为单元地 址。 数据字:若某计算机字是运算操作的对象即代表要处理的数据,则称数据字。指令字:若某计算机字代表一条指令或指令的一部分,则称指令字。 6.什么是指令?什么是程序? 解:指令:计算机所执行的每一个基本的操作。程序:解算某一问题的一串指令序列称为该问题的计算程序,简称程序。 7.指令和数据均存放在内存中,计算机如何区分它们是指令还是数据? 解:一般来讲,在取指周期中从存储器读出的信息即指令信息;而在执行周期中从存储器中读出的信息即为数据信息。

《计算机组成原理》课后习题答案(唐朔飞第二版)

第一章计算机系统概论 1.什么是计算机系统、计算机硬件和计算机软件?硬件和软件哪个更重要? 解:P3 计算机系统:由计算机硬件系统和软件系统组成的综合体。 计算机硬件:指计算机中的电子线路和物理装置。 计算机软件:计算机运行所需的程序及相关资料。 硬件和软件在计算机系统中相互依存,缺一不可,因此同样重要。 5. 冯?诺依曼计算机的特点是什么? 解:冯?诺依曼计算机的特点是:P8 ●计算机由运算器、控制器、存储器、输入设备、输出设备五大部件组成; ●指令和数据以同同等地位存放于存储器内,并可以按地址访问; ●指令和数据均用二进制表示; ●指令由操作码、地址码两大部分组成,操作码用来表示操作的性质,地址码用来表示操 作数在存储器中的位置; ●指令在存储器中顺序存放,通常自动顺序取出执行; ●机器以运算器为中心(原始冯?诺依曼机)。 7. 解释下列概念: 主机、CPU、主存、存储单元、存储元件、存储基元、存储元、存储字、存储字长、存储容量、机器字长、指令字长。 解:P9-10 主机:是计算机硬件的主体部分,由CPU和主存储器MM合成为主机。 CPU:中央处理器,是计算机硬件的核心部件,由运算器和控制器组成;(早期的运算器和控制器不在同一芯片上,现在的CPU内除含有运算器和控制器外还集成了CACHE)。 主存:计算机中存放正在运行的程序和数据的存储器,为计算机的主要工作存储器,可随机存取;由存储体、各种逻辑部件及控制电路组成。 存储单元:可存放一个机器字并具有特定存储地址的存储单位。 存储元件:存储一位二进制信息的物理元件,是存储器中最小的存储单位,又叫存储基元或存储元,不能单独存取。 存储字:一个存储单元所存二进制代码的逻辑单位。 存储字长:一个存储单元所存二进制代码的位数。 存储容量:存储器中可存二进制代码的总量;(通常主、辅存容量分开描述)。 机器字长:指CPU一次能处理的二进制数据的位数,通常与CPU的寄存器位数有关。 指令字长:一条指令的二进制代码位数。 8. 解释下列英文缩写的中文含义: CPU、PC、IR、CU、ALU、ACC、MQ、X、MAR、MDR、I/O、MIPS、CPI、FLOPS 解:全面的回答应分英文全称、中文名、功能三部分。 CPU:Central Processing Unit,中央处理机(器),是计算机硬件的核心部件,主要由运算器和控制器组成。 PC:Program Counter,程序计数器,其功能是存放当前欲执行指令的地址,并可自动计数

计算机组成原理第五版 白中英(详细)第4章习题参考答案

第4章习题参考答案 1.ASCII码是7位,如果设计主存单元字长为32位,指令字长为12位,是否合理?为什么? 答:不合理。指令最好半字长或单字长,设16位比较合适。一个字符的ASCII 是7位,如果设计主存单元字长为32位,则一个单元可以放四个字符,这也是可以的,只是在存取单个字符时,要多花些时间而已,不过,一条指令至少占一个单元,但只占一个单元的12位,而另20位就浪费了,这样看来就不合理,因为通常单字长指令很多,浪费也就很大了。 2.假设某计算机指令长度为32位,具有双操作数、单操作数、无操作数三类指令形式,指令系统共有70条指令,请设计满足要求的指令格式。 答:字长32位,指令系统共有70条指令,所以其操作码至少需要7位。 双操作数指令 单操作数指令 无操作数指令 3.指令格式结构如下所示,试分析指令格式及寻址方式特点。 答:该指令格式及寻址方式特点如下: (1) 单字长二地址指令。 (2) 操作码字段OP可以指定26=64种操作。 (3) 源和目标都是通用寄存器(可分指向16个寄存器)所以是RR型指令,即两个操作数均在寄存器中。 (4) 这种指令结构常用于RR之间的数据传送及算术逻辑运算类指令。 4.指令格式结构如下所示,试分析指令格式及寻址方式特点。 15 10 9 8 7 4 3 0 答:该指令格式及寻址方式特点如下: (1)双字长二地址指令,用于访问存储器。 (2)操作码字段OP可以指定26=64种操作。 (3)RS型指令,一个操作数在通用寄存器(选择16个之一),另一个操作数 在主存中。有效地址可通过变址寻址求得,即有效地址等于变址寄存器(选择16个之一)内容加上位移量。

计算机组成原理第3章习题参考答案

第3章习题参考答案 1、设有一个具有20位地址和32位字长的存储器,问 (1) 该存储器能存储多少字节的信息? (2) 如果存储器由512K ×8位SRAM 芯片组成,需要多少片? (3) 需要多少位地址作芯片选择? 解: (1) 该存储器能存储:字节 4M 8 32220=? (2) 需要 片88 2 322 8 51232 2 19 2020 =??= ??K (3) 用512K ?8位的芯片构成字长为32位的存储器,则需要每4片为一组进行字长的位数扩展,然后再由2组进行存储器容量的扩展。所以只需一位最高位地址进行芯片选择。 2、已知某64位机主存采用半导体存储器,其地址码为26位,若使用4M ×8位的DRAM 芯片组成该机所允许的最大主存空间,并选用内存条结构形式,问; (1) 若每个内存条为16M ×64位,共需几个内存条? (2) 每个内存条内共有多少DRAM 芯片? (3) 主存共需多少DRAM 芯片? CPU 如何选择各内存条? 解: (1) 共需 条464 1664 2 26 =??M 内存条 (2) 每个内存条内共有32 8 46416=??M M 个芯片 (3) 主存共需多少 1288 464648 464 2 26 =??= ??M M M 个RAM 芯片, 共有4个内存条,故CPU 选择内存条用最高两位地址A 24和A 25通过2:4译码器实现;其余的24根 地址线用于内存条内部单元的选择。 3、用16K ×8位的DRAM 芯片构成64K ×32位存储器,要求: (1) 画出该存储器的组成逻辑框图。 (2) 设存储器读/写周期为0.5μS ,CPU 在1μS 内至少要访问一次。试问采用哪种刷新方式比较合理?两次刷新的最大时间间隔是多少?对全部存储单元刷新一遍所需的实际刷新时间是多少? 解: (1) 用16K ×8位的DRAM 芯片构成64K ×32位存储器,需要用 16 448 163264=?=??K K 个芯片,其中每4片为一组构成16K ×32位——进行字长位 数扩展(一组内的4个芯片只有数据信号线不互连——分别接D 0~D 7、D 8~D 15、

计算机组成原理第二版课后习题详细答案

第1章计算机系统概论 1. 什么是计算机系统、计算机硬件和计算机软件?硬件和软件哪个更重要? 解: 计算机系统:由计算机硬件系统和软件系统组成的综合体。 计算机硬件:指计算机中的电子线路和物理装置。 计算机软件:计算机运行所需的程序及相关资料。 硬件和软件在计算机系统中相互依存,缺一不可,因此同样重要。 2. 如何理解计算机的层次结构? 答:计算机硬件、系统软件和应用软件构成了计算机系统的三个层次结构。 (1)硬件系统是最内层的,它是整个计算机系统的基础和核心。 (2)系统软件在硬件之外,为用户提供一个基本操作界面。 (3)应用软件在最外层,为用户提供解决具体问题的应用系统界面。 通常将硬件系统之外的其余层称为虚拟机。各层次之间关系密切,上层是下层的扩展,下层是上层的基础,各层次的划分不是绝对的。 3. 说明高级语言、汇编语言和机器语言的差别及其联系。 答:机器语言是计算机硬件能够直接识别的语言,汇编语言是机器语

言的符号表示,高级语言是面向算法的语言。高级语言编写的程序(源程序)处于最高层,必须翻译成汇编语言,再由汇编程序汇编成机器语言(目标程序)之后才能被执行。 4. 如何理解计算机组成和计算机体系结构? 答:计算机体系结构是指那些能够被程序员所见到的计算机系统的属性,如指令系统、数据类型、寻址技术组成及I/O机理等。计算机组成是指如何实现计算机体系结构所体现的属性,包含对程序员透明的硬件细节,如组成计算机系统的各个功能部件的结构和功能,及相互连接方法等。 5. 冯?诺依曼计算机的特点是什么? 解:冯?诺依曼计算机的特点是:P8 ●计算机由运算器、控制器、存储器、输入设备、输出设备五大 部件组成; ●指令和数据以同同等地位存放于存储器内,并可以按地址访 问; ●指令和数据均用二进制表示; ●指令由操作码、地址码两大部分组成,操作码用来表示操作的 性质,地址码用来表示操作数在存储器中的位置; ●指令在存储器中顺序存放,通常自动顺序取出执行; ●机器以运算器为中心(原始冯?诺依曼机)。

计算机组成原理第三章习题

第三章、内部存储器 1、存储器是计算机系统中的记忆设备,它主要是用来_____ A.存放数据 B.存放程序 C.存放数据和程序 D.存放微程序 2、存储单元是指______ A.存放一个二进制信息位的存储元 B.存放一个机器字的所有存储单元集合 C.存放一个字节的所有存储元集合 D.存放两个字节的所有存储元集合 3、计算机的存储器采用分级存储体系的主要目的是________ A.便于读写数据 B.减小机箱的体积 C.便于系统升级 D.解决存储容量、价格和存取速度之间的矛盾 5、和外存相比,内存的特点是____ A.容量大,速度快,成本低 B.容量大,速度慢,成本高 C.容量小,速度快,成本高 D.容量小,速度快,成本低 6、某单片机字长16位,它的存储容量64KB,若按字编址,那么它的寻址范围是______ A.64K B.32K C.64KB D.32KB 7、某SRAM芯片,其存储容量为64K×16位,该芯片的地址线和数据线数目为_______ A.64,16 B.16,64 C.64,8 D.16,16 8、某DRAM芯片,其存储器容量为512K×8位,该芯片的地址线和数据线数目为 ________ A.8,512 B.512,8 C.18,8 D.19,8 9、某机器字长32位,存储容量256MB,若按字编址,它的寻址范围是_______ A.1M B.512KB C.64M D.256KB 10、某机器字长32位,存储容量4GB,若按字编址,它的寻址范围是_______ A.1G B.4GB C.4G D.1GB 11、某机器字长64位,存储容量4GB,若按字编址,它的寻址范围是_______ A.4G B.2G C.0.5G D.1MB 12、某机器字长32位,存储容量4GB,若按双字编址,它的寻址范围是_______ A.4G B.5G C.8G D.2G 13、某SRAM芯片,其容量为512×8位,包括电源端和接地端,该芯片引出线的数目应为_____ A.23 B.25 C.50 D.19 14、某微型计算机系统,其操作系统保存在硬盘上,其内存储器应该采用__________ A.RAM B.ROM C.RAM 和ROM https://www.wendangku.net/doc/b72296221.html,D 15、相联存储是按____进行寻址的存储器。 A.地址指定方式 B.堆栈存取方式 C.内容指定方式 D.地址指定方式与堆栈存取方式结合 16、交叉存储器实质上是一种____存储器,它能_____执行_____独立的读写操作。 A.模块式,并行,多个 B.模块式,串行,多个 C.整体式,并行,一个 D.整体式,串行,多个

计算机组成原理第五章单元测试(含答案)

第五章指令系统测试 1、以下四种类型指令中,执行时间最长的是()(单选) A、RR型指令 B、RS型指令 C、SS型指令 D、程序控制类指令 2、程序控制类指令的功能是()(单选) A、进行算术运算和逻辑运算 B、进行主存与CPU之间的数据传送 C、进行CPU和I/O设备之间的数据传送 D、改变程序执行的顺序 3、单地址指令中为了完成两个数的算术运算,除地址码指明的一个操作数外,另一个常需采用的寻址方式是( )(单选) A、立即数寻址 B、寄存器寻址 C、隐含寻址 D、直接寻址 4、下列属于指令系统中采用不同寻址方式的目的主要是()(单选) A、为了实现软件的兼容和移植 B、缩短指令长度,扩大寻址空间,提高编程灵活性 C、为程序设计者提供更多、更灵活、更强大的指令 D、丰富指令功能并降低指令译码难度 5、寄存器间接寻址方式中,操作数存放在()中(单选) A、通用寄存器 B、主存 C、数据缓冲寄存器MDR D、指令寄存器 6、指令采用跳跃寻址方式的主要作用是() (单选) A、访问更大主存空间 B、实现程序的有条件、无条件转移 C、实现程序浮动 D、实现程序调用 7、下列寻址方式中,有利于缩短指令地址码长度的是()(单选) A、寄存器寻址 B、隐含寻址 C、直接寻址

D、间接寻址 8、假设某条指令的一个操作数采用寄存器间接寻址方式,假定指令中给出的寄存器编号为8,8号寄存器的内容为1200H,地址1200H中的内容为12FCH,地址12FCH中的内容为3888H,地址3888H中的内容为88F9H.则该操作数的有效地址为( ) (单选) A、1200H B、12FCH C、3888H D、88F9H 9、假设某条指令的一个操作数采用寄存器间接寻址方式,假定指令中给出的寄存器编号为8,8号寄存器的内容为1200H,地址1200H中的内容为12FCH,地址12FCH中的内容为3888H,地址3888H中的内容为88F9H.则该操作数为( ) (单选) A、1200H B、12FCH C、3888H D、88F9H 10、某计算机按字节编址,采用大端方式存储信息。其中,某指令的一个操作数的机器数为ABCD 00FFH,该操作数采用基址寻址方式,指令中形式地址(用补码表示)为FF00H,当前基址寄存器的内容为C000 0000H,则该操作数的LSB(即该操作数的最低位FFH)存放的地址是( ) (单选) A、C000 FF00H B、C000 FF03H C、BFFF FF00H D、BFFF FF03H 11、假定指令地址码给出的是操作数所在的寄存器的编号,则该操作数采用的寻址方式是( )(单选) A、直接寻址 B、间接寻址 C、寄存器寻址 D、寄存器间接寻址 12、相对寻址方式中,操作数有效地址通过( )与指令地址字段给出的偏移量相加得到(单选) A、基址寄存器的值 B、变址寄存器的值 C、程序计数器的值 D、段寄存器的值 13、下列关于二地址指令的叙述中,正确的是( ) (单选) A、运算结果通常存放在其中一个地址码所指向的位置 B、地址码字段一定是操作数 C、地址码字段一定是存放操作数的寄存器编号

计算机组成原理课后复习资料白中英主编第五版立体化教材

计算机组成原理第五版习题答案计算机组成原理第五版习题答案 第一章 (1) 第二章 (3) 第三章 (14) 第四章 (19) 第五章 (21) 第六章 (27) 第七章 (31) 第八章 (34) 第九章 (36)

1

计算机组成原理第五版习题答案第一章 1.模拟计算机的特点是数值由连续量来表示,运算过程也是连续的。数字计算机的主要特点是按位运算,并且不连续地跳动计算。模拟计算机用电压表示数据,采用电压组合和测量值的计算方式,盘上连线的控制方式,而数字计算机用数字0 和 1 表示数据,采用数字计数的计算方式,程序控制的控制方式。数字计算机与模拟计算机相比,精度高,数据存储量大,逻辑判断能力强。 2.数字计算机可分为专用计算机和通用计算机,是根据计算机的效率、速度、价格、运行的经济性和适应性来划分的。 3.科学计算、自动控制、测量和测试、信息处理、教育和卫生、家用电器、人工智能。4.主要设计思想是:采用存储程序的方式,编制好的程序和数据存放在同一存储器中,计算机可以在无人干预的情况下自动完成逐条取出指令和执行指令的任务;在机器内部,指令和数据均以二进制码表示,指令在存储器中按执行顺序存放。主要组成部分有::运算器、逻辑器、存储器、输入设备和输出设备。 5.存储器所有存储单元的总数称为存储器的存储容量。每个存储单元都有编号,称为单元地址。如果某字代表要处理的数据,称为数据字。如果某字为一条指令,称为指令字。6.计算机硬件可直接执行的每一个基本的算术运算或逻辑运算操作称为一条指令,而解算某一问题的一串指令序列,称为程序。 7.取指周期中从内存读出的信息流是指令流,而在执行器周期中从内存读出的信息流是数据流。 8.半导体存储器称为内存,存储容量更大的磁盘存储器和光盘存储器称为外存,内存和外存共同用来保存二进制数据。运算器和控制器合在一起称为中央处理器,简称CPU,它用来控制计算机及进行算术逻辑运算。适配器是外围设备与主机联系的桥梁,它的作用相当于一个转换器,使主机和外围设备并行协调地工作。 9.计算机的系统软件包括系统程序和应用程序。系统程序用来简化程序设计,简化使用方法,提高计算机的使用效率,发挥和扩大计算机的功能用用途;应用程序是用户利用计算机来解决某些问题而编制的程序。 10.在早期的计算机中,人们是直接用机器语言来编写程序的,这种程序称为手编程序或目的程序;后来,为了编写程序方便和提高使用效率,人们使用汇编语言来编写程序,称为汇编程序;为了进一步实现程序自动化和便于程序交流,使不熟悉具体计算机的人也能很方便地使用计算机,人们又创造了算法语言,用算法语言编写的程序称为源程序,源程序通过编译系统产生编译程序,也可通过解释系统进行解释执行;随着计算机技术的日益发展,人们又创造出操作系统;随着计算机在信息处理、情报检索及各种管理系统中应用的发展,要求大量处理某些数据,建立和检索大量的表格,于是产生了数据库管理系统。 11.第一级是微程序设计级,这是一个实在的硬件级,它由机器硬件直接执行微指令; 第二级是一般机器级,也称为机器语言级,它由程序解释机器指令系统;第三级是操作系统级,它由操作系统实现;第四级是汇编语言级,它给程序人员提供一种符号形式语言,以减少程序编写的复杂性;第五级是高级语言级,它是面向用户的,为方便用户编写应用程序而设置的。用一系列的级来组成计算机的接口对于掌握计算机是如何组成的提供了一种好的结构和体制,而且用这种分级的观点来设计计算机对保证产生一个良好的系统结构也是很有帮助的。

计算机组成原理作业~第三章

一、选择题 1、EPROM是指___D___。 A. 读写存储器 B. 只读存储器 C. 可编程的只读存储器 D. 光擦除可编程的只读存储器 2、计算机系统中的存贮器系统是指__D__。 A RAM存贮器 B ROM存贮器 C 主存贮器 D cache、主存贮器和外存贮器 3、存储单元是指__B__。 A 存放一个二进制信息位的存贮元 B 存放一个机器字的所有存贮元集合 C 存放一个字节的所有存贮元集合 D 存放两个字节的所有存贮元集合; 4、相联存贮器是按__C_进行寻址的存贮器。 A 地址方式 B 堆栈方式 C 内容指定方式 D 地址方式与堆栈方式 5、存储器是计算机系统的记忆设备,主要用于___D___。 A.存放程序 B.存放软件 C.存放微程序 D.存放程序和数据 6、外存储器与内存储器相比,外存储器___B___。 A.速度快,容量大,成本高 B.速度慢,容量大,成本低 C.速度快,容量小,成本高 D.速度慢,容量大,成本高 7、一个256K×8的存储器,其地址线和数据线总和为___C___。 A.16 B.18 C.26 D.20 8、某SRAM芯片,存储容量为64K×16位,该芯片的地址线和数据线数目为__D__。 A 64,16 B 16,64 C 64,8 D 16,16 。 9、交叉存贮器实质上是一种__A__存贮器,它能_____执行______独立的读写操作。 A 模块式,并行,多个 B 模块式串行,多个 C 整体式,并行,一个 D 整体式,串行,多个 10、存储器是计算机系统中的记忆设备,它主要用来__C___。 A. 存放数据 B. 存放程序 C. 存放数据和程序 D. 存放微程序 11、某计算机的字长16位,它的存储容量是64KB,若按字编址,那么它的寻址范围是 ___B___。 A. 64K B.32K C. 64KB D. 32KB 12、存储单元是指__A__。 A.存放一个机器字的所有存储元 B.存放一个二进制信息位的存储元 C.存放一个字节的所有存储元的集合 D.存放两个字节的所有存储元的集合13、机器字长32位,其存储容量为4MB,若按字编址,它的寻址范围是_A__。 A. 1M B. 1MB C. 4M D. 4MB

《计算机组成原理》第3章习题答案

第3章习题解答 1.指令长度和机器字长有什么关系?半字长指令、单字长指令、双字长指令分别表示什么意思? 解:指令长度与机器字长没有固定的关系,指令长度可以等于机器字长,也可以大于或小于机器字长。通常,把指令长度等于机器字长的指令称为单字长指令;指令长度等于半个机器字长的指令称为半字长指令;指令长度等于两个机器字长的指令称为双字长指令。 2.零地址指令的操作数来自哪里?一地址指令中,另一个操作数的地址通常可采用什么寻址方式获得?各举一例说明。 解:双操作数的零地址指令的操作数来自堆栈的栈顶和次栈顶。双操作数的一地址指令的另一个操作数通常可采用隐含寻址方式获得,即将另一操作数预先存放在累加器中。例如,前述零地址和一地址的加法指令。 3.某机为定长指令字结构,指令长度16位;每个操作数的地址码长6位,指令分为无操作数、单操作数和双操作数三类。若双操作数指令已有K种,无操作数指令已有L种,问单操作数指令最多可能有多少种?上述三类指令各自允许的最大指令条数是多少? 解:X= (24一K)×26一[L/26] 双操作数指令的最大指令数:24一1。 单操作数指令的最大指令数:15×26一l(假设双操作数指令仅1条,为无操作数指令留出1个扩展窗口)。 无操作数指令的最大指令数:216一212一26。其中212为表示某条二地址指令占用的编码数,26为表示某条单地址指令占用的编码数。此时双操作数和单操作数指令各仅有1条。 4.设某机为定长指令字结构,指令长度12位,每个地址码占3位,试提出一种分配方案,使该指令系统包含:4条三地址指令,8条二地址指令,180条单地址指令。 解:4条三地址指令 000 XXX YYY ZZZ . . 011 XXX YYY ZZZ 8条二地址指令 100 000 XXX YYY . . 100 111 XXX YYY 180条单地址指令 101 000 000 XXX . . 111 110 011 XXX 5.指令格式同上题,能否构成: 三地址指令4条,单地址指令255条,零地址指令64条?为什么? 解:三地址指令4条 000 XXX YYY ZZZ

计算机组成原理第四版课后题答案五,六章

第五章 1.请在括号内填入适当答案。在CPU中: (1) 保存当前正在执行的指令的寄存器是(指令寄存器IR); (2) 保存当前正要执行的指令地址的寄存器是(程序计数器PC); (3) 算术逻辑运算结果通常放在(通用寄存器)和(数据缓冲寄存器DR)。 2.参见下图(课本P166图5.15)的数据通路。画出存数指令"STA R1 ,(R2)"的指令周期 流程图,其含义是将寄存器R1的内容传送至(R2)为地址的主存单元中。标出各微操作信 号序列。 解:"STA R1 ,(R2)"指令是一条存数指令,其指令周期流程图如下图所示:

3.参见课本P166图5.15的数据通路,画出取数指令"LDA(R3),RO"的指令周期流程图, 其含义是将(R3)为地址的主存单元的内容取至寄存器R0中,标出各微操作控制信号序列。 5.如果在一个CPU周期中要产生3个脉冲 T1 = 200ns ,T2 = 400ns ,T3 = 200ns,试画出 时序产生器逻辑图。 解:节拍脉冲T1 ,T2 ,T3 的宽度实际等于时钟脉冲的周期或是它的倍数,此时T1 = T3 =200ns , T2 = 400 ns ,所以主脉冲源的频率应为 f = 1 / T1 =5MHZ 。为了消除节拍脉冲上的毛刺,环 型脉冲发生器可采用移位寄存器形式。下图画出了题目要求的逻辑电路图和时序信号关系。根据关 系,节拍脉冲T1 ,T2 ,T3 的逻辑表达式如下:

T1 = C1·, T2 = , T3 = 6.假设某机器有80条指令,平均每条指令由4条微指令组成,其中有一条取指微指令是所有指 令公用的。已知微指令长度为32位,请估算控制存储器容量。 解:微指令条数为:(4-1)×80+1=241条 取控存容量为:256×32位=1KB 7. 某ALU器件使用模式控制码M,S3,S2,S1,C来控制执行不同的算术运算和逻辑操作。 下表列出各条指令所要求的模式控制码,其中y为二进制变量,F为

《计算机组成原理》第三章课后题参考答案

第三章课后习题参考答案 1.有一个具有20位地址和32位字长的存储器,问: (1)该存储器能存储多少个字节的信息? (2)如果存储器由512K×8位SRAM芯片组成,需要多少芯片? (3)需要多少位地址作芯片选择? 解:(1)∵ 220= 1M,∴ 该存储器能存储的信息为:1M×32/8=4MB (2)(1024K/512K)×(32/8)= 8(片) (3)需要1位地址作为芯片选择。 3.用16K×8位的DRAM芯片组成64K×32位存储器,要求: (1) 画出该存储器的组成逻辑框图。 (2) 设DRAM芯片存储体结构为128行,每行为128×8个存储元。如单元刷新间隔不超过2ms,存储器读/写周期为0.5μS, CPU在1μS内至少要访问一次。试问采用哪种刷新方式比较合理?两次刷新的最大时间间隔是多少?对全部存储单元刷新一遍所需的实际刷新时间是多少? 解:(1)组成64K×32位存储器需存储芯片数为 N=(64K/16K)×(32位/8位)=16(片) 每4片组成16K×32位的存储区,有A 13-A 作为片内地址,用A 15 A 14 经2:4译码 器产生片选信号,逻辑框图如下所示:

(2)根据已知条件,CPU在1us内至少访存一次,而整个存储器的平均读/写周期为0.5us,如果采用集中刷新,有64us的死时间,肯定不行; 所以采用分散式刷新方式: 设16K×8位存储芯片的阵列结构为128行×128列,按行刷新,刷新周期T=2ms,则分散式刷新的间隔时间为: t=2ms/128=15.6(s) 取存储周期的整数倍15.5s(0.5的整数倍) 则两次刷新的最大时间间隔发生的示意图如下 可见,两次刷新的最大时间间隔为t MAX =15.5×2-0.5=30.5 (μS) t MAX 对全部存储单元刷新一遍所需时间为t R =0.5×128=64 (μS) t R 4.有一个1024K×32位的存储器,由128K×8位DRAM芯片构成。问: (1)总共需要多少DRAM芯片? (2)设计此存储体组成框图。 (3)设DRAM芯片存储体结构为512行,每行为256×8个存储元。采用分散式刷新方式,如单元刷新间隔不超过8ms,则刷新信号周期是多少?

计算机组成原理习题 第五章

第五章 一.填空题 1.控制器由于设计方法的不同可分为型、型和型控制器。 2.控制器在生成各种控制信号时,必须按照一定的进行,以便对各种操作实施时间上的控制。 3.微程序控制的计算机中的控制存储器CM是用来存放的。 4.在微指令的字段编码法中,操作控制字段的分段并非是任意的,必须遵循的分段原则中包括:①把性的微命令分在同一段内;②一般每个小段要留出一个状态,表示。 5.微指令分为和微指令两类,微指令可以同时执行若干个微操作,所以执行机器指令的速度比微指令快。 6.在CPU中,指令寄存器的作用是,其位数取决于;程序计数器的作用是,其位数取决于。 7.指令周期是,最基本的指令周期包括和。 8.根据CPU访存的性质不同,可将CPU的工作周期分为、、和。 9.在CPU中保存当前正在执行的指令的寄存器是,保存下一条指令地址的寄存器是,保存CPU访存地址的寄存器是。 10.中断判优可通过和实现,前者速度更快。 11.中断服务程序的入口地址可通过和寻找。 12.在硬件向量法中,可通过两种方式找到服务程序的入口地址,一种是,另一种是。 13.CPU从主存取出一条指令并执行该指令的时间叫做,它常常用若干个来表示,而后者又包含有若干个。 14.程序顺序执行时,后继指令的地址由形成,遇到转移指令和调用指令时,后继指令的地址从获得。 15.控制器在生成各种控制信号时,必须按照一定的进行,以便对各种操作实施时间上的控制。 16.机器X和Y的主频分别是8MHz和12MHz,则X机的时钟周期为μs。

若X机的平均指令执行速度为0.4MIPS,则X机得平均指令周期为μs。若两个机器的机器周期内时钟周期数相等,则Y机得平均执行速度为MIPS。 17.一个主频为25MHz的CPU,平均每条指令包含2个机器周期,每个机器周期包含2个时钟周期,则计算机的平均速度是。如果每两个机器周期中有一个用于访存,而存储器速度较慢,需再插入2个时钟周期,此时指令周期为μs。 18.微指令格式可分为型和型两类,其中型微指令用较长的微程序结构换取较短的微指令结构。 19.在用微程序实现的控制器中,一条机器指令对应若干条,它又包含若干。微指令格式分成型和型两类,型微指令可同时执行若干个微操作,所以执行指令的速度比快。 20.实现机器指令的微程序一般存放在中,而用户程序存放在中,前者的速度比后者。若采用水平型微指令,则微指令长度一般比机器指令。 21.某计算机采用微程序控制,微指令字中操作控制字段共16位,若采用直接控制,则可以定义种微操作,此时一条微指令最多可同时启动个微操作。若采用编码控制,并要求一条微指令需同时启动4个微操作,则微指令字中的操作控制字段应分段,若每个字段的微命令数相同,这样的微指令格式最多可包含个微操作命令。 22.在微程序控制器中,一次能够定义并执行多个并行操作命令的微指令叫 做型微指令。若采用微操作码方式,一次只能执行一个操作命令的微指令(例如,控制信息从某个源部件到某个目标部件)叫做型微指令,后者实现一条机器指令的微程序要比前者编写的微程序。 23.在串行微程序控制器中,执行现行微指令的操作与取下一条微指令的操作在时间上是进行的,所以微指令周期等于。在并行为程序控制器中,执行现行微指令的操作与取下一条微指令的操作是进行的,所以微指令周期等于。 二.选择题

计算机组成原理第二版课后习题答案全唐朔飞

计算机组成原理第二版课后习题答案全唐朔飞第1章计算机系统概论 1. 什么是计算机系统、计算机硬件和计算机软件?硬件和软件哪个更重要?解:P3 计算机系统:由计算机硬件系统和软件系统组成的综合体。计算机硬件:指计算机中的电子线路和物理装置。计算机软件:计算机运行所需的程序及相关资料。 硬件和软件在计算机系统中相互依存,缺一不可,因此同样重要。 5. 冯?诺依曼计算机的特点是什么?解:冯?诺依曼计算机的特点是:P8 ? ? ? ? ? ? 计算机由运算器、控制器、存储器、输入设备、输出设备五大部件组成;指令和数据以同同等地位存放于存储器内,并可以按地址访问;指令和数据均用二进制表示; 指令由操作码、地址码两大部分组成,操作码用来表示操作的性质,地址码用来表示操作数在存储器中的位置; 指令在存储器中顺序存放,通常自动顺序取出执行;机器以运算器为中心(原始冯?诺依曼机)。 7. 解释下列概念: 主机、CPU、主存、存储单元、存储元件、存储基元、存储元、

存储字、存储字长、存储容量、机器字长、指令字长。解:P9-10 主机:是计算机硬件的主体部分,由CPU和主存储器MM合成为主机。 CPU:中央处理器,是计算机硬件的核心部件,由运算器和控制器组成;(早期的运算器和控制器不在同一芯片上,现在的CPU内除含有运算器和控制器外还集成了CACHE)。 主存:计算机中存放正在运行的程序和数据的存储器,为计算机的主要工作存储器,可随机存取;由存储体、各种逻辑部件及控制电路组成。 存储单元:可存放一个机器字并具有特定存储地址的存储单位。 存储元件:存储一位二进制信息的物理元件,是存储器中最小的存储单位,又叫存储基元或存储元,不能单独存取。 存储字:一个存储单元所存二进制代码的逻辑单位。存储字长:一个存储单元所存二进制代码的位数。 存储容量:存储器中可存二进制代码的总量;(通常主、辅存容量分开描述)。机器字长:指CPU一次能处理的二进制数据的位数,通常与CPU的寄存器位数有关。指令字长:一条指令的二进制代码位数。 8. 解释下列英文缩写的中文含义: CPU、PC、IR、CU、ALU、ACC、MQ、X、MAR、MDR、I/O、MIPS、CPI、FLOPS 解:全面的回答应分英文全称、中文名、功能三部分。

计算机组成原理第5章习题参考答案

第5章习题参考答案 1.请在括号填入适当答案。在CPU中: (1)保存当前正在执行的指令的寄存器是( IR ); (2)保存当前正在执行的指令地址的寄存器是( AR ) (3)算术逻辑运算结果通常放在( DR )和(通用寄存器)。 2.参见图5.15的数据通路。画出存数指令“STO Rl,(R2)”的指令周期流程图,其含义是将寄存器Rl的容传送至(R2)为地址的主存单元中。标出各微操作信号序列。 解: STO R1, (R2)的指令流程图及为操作信号序列如下:

STO R1, (R2) R/W=R DR O, G, IR i R2O, G, AR i R1O, G, DR i R/W=W 3.参见图5.15的数据通路,画出取数指令“LAD (R3),R0”的指令周期流程图,其含义是将(R3)为地址主存单元的容取至寄存器R2中,标出各微操作控制信号序列。 解: LAD R3, (R0)的指令流程图及为操作信号序列如下:

PC O , G, AR i R/W=R DR O , G, IR i R 3O , G, AR i DR O , G, R 0i R/W=R LAD (R3), R0 4.假设主脉冲源频率为10MHz ,要求产生5个等间隔的节拍脉冲,试画出时序产生器的逻辑图。 解:

5.如果在一个CPU 周期中要产生3个节拍脉冲;T l =200ns ,T 2=400ns ,T 3=200ns ,试画出时序产生器逻辑图。 解:取节拍脉冲T l 、T 2、T 3的宽度为时钟周期或者是时钟周期的倍数即可。所以取时钟源提供的时钟周期为200ns ,即,其频率为5MHz.;由于要输出3个节拍脉冲信号,而T 3的宽度为2个时钟周期,也就是一个节拍电位的时间是4个时钟周期,所以除了C 4外,还需要3个触发器——C l 、C 2、C 3;并令 211C C T *=;321C C T *=;313C C T =,由此可画出逻辑电路图如下:

白中英《计算机组成原理》(第5版)教材精讲(计算机系统概论 计算机的硬件)

1.3 计算机的硬件 一、硬件组成要素 1.举例说明 要了解数字计算机的主要组成和工作原理,可从打算盘说起。假设给一个算盘、一张带有横格的纸和一支笔,要求计算这样一个题目。为了和下面讲到的内容做比较,不妨按以下方法把使用算盘进行解题的过程步骤事先用笔详细地记录在带横格的纸上。 (1)首先,将横格纸编上序号,每一行占一个序号,如l,2,3,…,n,如表1-2所示。 (2)其次,把计算式中给定的四个数a,b,c和z分别写到横格纸的第 9,10,11,12行上,每一行只写一个数。 (3)接着详细列出给定题目的解题步骤,而解题步骤也需要记在横格纸上,每一步也只写一行。第一步写到横格纸的第l行,第二步写到第2行,……依次类推。 (4)如表1-2所示,根据表中所列的解题步骤,从第l行开始,一步一步进行计算,最后可得出所要求的结果。

表1-2 解题步骤和数据记录在横格纸上 2.冯·诺依曼体系结构 计算机组成原理讨论的基础就是冯·诺依曼的计算机,其基本设计思想就是存储程序和程序控制,具有以下特点: (1)由运算器、存储器、控制器、输入设备和输出设备五大部件组成计算机系统,并规定了这五部分的基本功能。

(2)采用存储程序的方式,程序和数据放在同一个存储器中,指令和数据一样可以送到运算器运算,即由指令组成的程序是可以修改的。 (3)数据以二进制数码表示。 (4)指令由操作码和地址码组成。 (5)指令在存储器中按顺序存放,由指令计数器PC 指明要执行的指令所在单元地址,一般按顺序递增,但可按运算结果或外界条件改变。 (6)机器以运算器为中心,I/O 设备与存储器间数据传送都通过运算器。 计算机硬件系统的基本构成如图1-9所示。 图1-9 冯·诺依曼型计算机 二、 运算器 算术运算和逻辑运算 ; 在计算机中参与运算的数是二进制的 ; 运算器的长度一般是8、16、32或64位。 运算器的结构示意图如图1-10所示。

计算机组成原理第四版课后题答案三,四章

第三章 1.有一个具有20位地址和32位字长的存储器,问: (1)该存储器能存储多少个字节的信息? (2)如果存储器由512K×8位SRAM芯片组成,需要多少芯片?(3)需要多少位地址作芯片选择? 解:(1)∵ 220= 1M,∴该存储器能存储的信息为:1M×32/8=4MB (2)(1000/512)×(32/8)= 8(片) (3)需要1位地址作为芯片选择。 2. 已知某64位机主存采用半导体存储器,其地址码为26位,若使用256K×16位的DRAM芯片组成该机所允许的最大主存空间,并选用模块板结构形式,问: (1)每个模块板为1024K×64位,共需几个模块板? (2)个模块板内共有多少DRAM芯片? (3)主存共需多少DRAM芯片? CPU如何选择各模块板? 解:(1). 共需模块板数为m: m=÷=64 (块) (2). 每个模块板内有DRAM芯片数为n: n=(/) ×(64/16)=16 (片) (3) 主存共需DRAM芯片为:16×64=1024 (片) 每个模块板有16片DRAM芯片,容量为1024K×64位,需20根地址线(A19~A0)完成模块 板内存储单元寻址。一共有64块模块板,采用6根高位地址线(A25~A20),通过 6:64译码器译码产生片选信号对各模块板进行选择。 3.用16K×8位的DRAM芯片组成64K×32位存储器,要求: (1) 画出该存储器的组成逻辑框图。 (2) 设存储器读/写周期为0.5μS, CPU在1μS内至少要访问一次。试问采用哪种刷新方式比较合理?两次刷新的最大时间间隔是多少?对全部存储单元刷新一遍所需的实际刷新时间是多少? 解:(1)组成64K×32位存储器需存储芯片数为

计算机组成原理第三章练习题

第3章内部存储器 二.选择题 1.主(内)存用来存放 D 。 A.程序 B.数据 C.微程序 D.程序和数据 2.下列存储器中,速度最慢的是 C 。 A.半导体存储器 B.光盘存储器 C.磁带存储器 D.硬盘存储器 3.某一SRAM芯片,容量为16K×1位,则其地址线有(A)。 A.14根 B.16K根 C.16根 D.32根 4.下列部件(设备)中,存取速度最快的是(B)。 A.光盘存储器 B.CPU的寄存器 C.软盘存储器 D.硬盘存储器 5.在主存和CPU之间增加Cache的目的是(C)。 A.扩大主存的容量 B.增加CPU中通用寄存器的数量 C.解决CPU和主存之间的速度匹配 D.代替CPU中的寄存器工作 6.计算机的存储器采用分级存储体系的目的是(D)。 A.便于读写数据 B.减小机箱的体积 C.便于系统升级 D.解决存储容量、价格与存取速度间的矛盾 7.相联存储器是按(C)进行寻址的存储器。 A.地址指定方式 B.堆栈存取方式 C.内容指定方式 D.地址指定与堆栈存取方式结合 8.某SRAM芯片,其容量为1K×8位,加上电源端和接地端后,该芯片的引出线的最少数目应为(D)。 A.23 B.25 C.50 D.20 9.常用的虚拟存储器由(A)两级存储器组成,其中辅存是大容量的磁表面存储器。 A.主存—辅存 B.快存—主存 C.快存—辅存 D.通用寄存器—主存 10.在Cache的地址映射中,若主存中的任意一块均可映射到Cache内的任意一快的位置上,则这种方法称为(A)。 A.全相联映射 B.直接映射 C.组相联映射 D.混合映射 11.640KB的内存容量为(C)。 A.640000字节 B.64000字节 C.655360字节 D.32000字节 12.若一台计算机的字长为4个字节,则表明该机器(C)。 A.能处理的数值最大为4位十进制数 B.能处理的数值最多由4位二进制数组成 C.在CPU中能够作为一个整体加以处理的二进制代码为32位 D.在CPU中运算的结果最大为2的32次方 13.下列元件中存取速度最快的是(B)。 A.Cache B.寄存器 C.内存 D.外存 14.计算机的存储器采用分级方式是为了(B)。 A.减少主机箱的体积 B.解决容量、价格、速度三者之间的矛盾 C.保存大量数据方便

相关文档
相关文档 最新文档