文档库 最新最全的文档下载
当前位置:文档库 › 基于FPGA的交通灯控制电路的设计

基于FPGA的交通灯控制电路的设计

基于FPGA的交通灯控制电路的设计
基于FPGA的交通灯控制电路的设计

编号:

EDA技术

实训(论文)说明书

题目:交通灯控制电路的设计

院(系):信息与通信学院

专业:电子信息工程

学生姓名:

学号:

指导教师:

2013年 1 月10 日

摘要

EDA工具对于电子设计人员来说极其重要,它可以在电子设计的各个阶段、层次进行计算机模拟验证,确保设计的准确性,可缩短设计周期,降低设计成本。交通灯可以实现十字路口红绿灯的自动控制。基于FPGA的交通灯设计系统具有可靠性强、实时快速擦写、运算速度高、故障率低、电路简单,且体积小的特点。本设计采用的是Altera 公司CycloneII系列的EP2C5T144芯片作为核心最小系统,它可以方便嵌入到实际的交通灯应用系统中,可以完成简单的逻辑控制、数据采集、信号处理、数学计算等功能;使用QuartusII软件作为开发平台;采用自顶向下的设计思路对系统进行模块化设计和综合,并通过波形仿真和硬件实现两种方式实现并验证交通灯的功能。

关键词:交通灯、EDA、FPGA

Abstract

EDA tools for electronic design personnel is very important, it can be in the electronic design of each stage, levels of computer simulation verification, to ensure the accuracy of the design, can shorten the design cycle, reduce design cost. The traffic light can realize intersection traffic light automatic control. Based on the FPGA of traffic light design system has the reliability, real-time fast operation speed is high, integration.it, low failure rate, circuit is simple, and the characteristics of small volume. This design USES is Altera company CycloneII series of EP2C5T144 chip as the core minimum system, it can be convenient to the actual traffic lights embedded application system, can complete the simple logic control, data acquisition, signal processing, mathematical calculations, etc; Use QuartusII software as a development platform, Using the top-down design idea of system modular design and comprehensive, and through the waveform simulation and hardware realization two ways to realize and verify the function of the traffic lights.

Key words:traffic lights;EDA;FPGA

引言

“EDA技术”是一门实践性和实用性都很强的课程,学习的目的在于应用。本设计实训是配合“EDA技术”课堂教学的一个重要的实践教学环节,在本课程设计指导书中列举了一些常用的小型设计系统,旨在起到巩固课堂和书本上所学知识,加强综合能力,提高系统设计水平,启发创新思想的效果。

1设计任务与要求

1.1本综合设计实训任务的内容和要求

①用EDA实训仪上的4只八段数码管分别显示道路东西和南北通行和禁止的倒计时时间。

②能设置道路东西和南北两侧通行和禁止的倒计时时间,最大设置时间为99秒,最小设置时间为1秒。

③交通灯用红、绿、黄三种发光二极管(LED)显示控制的结果。

④红、绿、黄灯显示的次序应符合实际交通道路控制的要求。

2 系统概述及设计原理

2.1 系统的主要功能

交通灯控制电路是用于城市交通疏导的管理系统,它是现代城市交通监控指挥系统中最重要的组成部分。交通信号灯指挥着人和各种车辆的安全运行,实现红、黄、绿灯的自动指挥是城乡交通管理现代化的重要课题。在城乡街道的十字交叉路口,为了保证交通秩序和行人安全,一般在每条道路上各有一组红、黄、绿交通信号灯。交通灯控制电路自动控制十字路口两组红、黄、绿交通灯的状态转换,指挥各种车辆和行人安全通行,实现十字路口城乡交通管理自动化。设计一个十字路口交通控制器,方向分为东南西北四个方向。东西方向的红绿灯状态一样,南北方向的红绿灯状态一样。每个方向上,有四盏灯,分别是左转灯、红灯、绿灯和黄灯。左拐灯亮表示左转车辆可以通行;红灯亮表示左转和直行车辆禁行;绿灯亮表示直行车辆和右转的车辆可以通行;黄灯亮表示左转和直行的车辆即将禁行。

本论文简要介绍了FPGA器件的特点和设计意义,以QuartusII软件为开发平台,通过VHDL硬件描述语言以及原理图的输入方式来设计交通灯。交通灯控制器用于自动控制十字路口交通灯和计时器。

系统的主要功能模块方框图如图2-1所示

图2-1 系统主要功能模块

2.2交通灯的设计原理

交通灯控制电路的原理框图如图1.3所示。其中,clkgen是分频器,将EDA实训仪主板提供的20MHz的主频经20000000分频后,得到电路所需的1Hz(秒)时钟。Cnt10de (两个)是十进制减法计数器,产生道路东西和南北通行和禁止的倒计时时间。Contr 是控制电路,控制整个系统的工作。控制器接收倒计时的结果,当倒计时归0时,改变电路的控制模式,输出倒计时的初始时间和交通灯亮灭控制信号。

图2-2 交通灯控制电路的原理图

3 系统各个模块的的设计与仿真

3.1 分频器模块的设计

由于采用的FPGA芯片的时钟频率是50MHz,需要将其分频为1Hz。该模块即是实现50M的分频,将频率变为1Hz的脉冲波,从而得到周期为1s的脉冲波。如果要真正的实现分频50M,在仿真结果图中是很难观察的,甚至是没有办法验证的,故在仿真过程中,将分频的倍数变小,变为20分频,这样从图中可容易的得到并验证对时钟输入信号的20分频,如图3-1所示, Reset是复位信号,CLK_50MHz是输入时钟频率,CLK_1Hz是

输出时钟频率,从图中可以看出,输出频率CLK_1Hz确实是输入时钟频率CLK_50MHz的20分频。

图3-1 分频器仿真图

3.2 状态控制模块的设计

状态控制模块根据输入CLK_1Hz的脉冲信号输出不同的STATUS值,下游的模块依据STATUS的值来确定红绿灯的状态;于此同时对倒计时信号赋初值。在状态控制模块仿真图中,输入信号CLK是一个频率为1Hz的时钟脉冲信号,RESET是复位信号;输出信号STATUS是状态转换信号,控制着下游交通信号灯显示模块的显示情况;LOAD_EW、LOAD_SN是东西、南北方向倒计时开始控制信号,DJS_EW、DJS_SN是东西、南北方向倒计时间信号。其仿真结果图如图3-2所示,由图可得,STATUS共有000(S1)、001(S2)、010(S3)、011(S4)、100(S5)、101(S6)共6个状态,80s为红灯和左转灯的倒计时间,45s为绿灯的倒计时间,5s是黄灯的倒计时间,和状态转换表的状态一致。

图3-2 状态控制模块仿真图

3.3 交通灯信号灯显示模块的设计

交通信号灯显示模块根据输入的STATUS信号,输出对应的红绿黄灯信号,并直接驱动交通信号灯的亮灭。在交通灯信号灯显示模块程序仿真结果图中,STATUS为输入信号,而它依赖于状态控制模块产生的信号STATUS信号,一种六种状态,依次为000(S1)、001(S2)、010(S3)、011(S4)、100(S5)、101(S6);EW_LRGY(8位)是东西方向上交通信号灯的状态, SN_LRGY(8位)是南北方向上交通信号灯的状态,依次为左转、红、绿、黄,对应着EW_LRGY、SN_LRGY的前四位,‘1’表示点亮,‘0’表示熄灭,后四位均为0,表示四个灯的阴极接负极。例如,在STATUS为001时,对应的是东西方向红灯亮,南北方向绿灯亮,仿真结果符合预期,完全正确。其仿真图如3-3所示:

图3-3 信号灯显示模块仿真图

3.4东西方向倒计时模块设计

东西方向倒计时模块用来接收状态控制模块的输出并作为初值,每检测到一个CLK 脉冲信号,就进行减一动作,最后把减一结果输出。在该模块仿真图中,CLK为1Hz的输入信号,LOAD_EW为计数器的装载信号,当其为高电平时,将输入信号DJS_EW_IN的值装载到计数器中,并开始进行减一操作,每遇一个CLK脉冲,进行一次减一操作,并将结果输出,由于输入的时钟频率是1Hz,所以显示的时间间隔是1s,与现实生活中的时间保持一致。其仿真结果如图3-4所示,由图可得,该模块首先将80秒的倒计时信号装载进去,并进行减一操作。

图3-4 东西方向倒计时仿真图

3.5 南北方向倒计时模块设计

南北方向倒计时模块用来接收状态控制模块的输出并作为初值,每检测到一个CLK 脉冲信号,就进行减一动作,最后把减一结果输出。在该模块仿真图中,CLK为1Hz的输入信号,LOAD_SN为计数器的装载信号,当其为高电平时,将输入信号DJS_SN_IN的值装载到计数器中,并开始进行减一操作,每遇一个CLK脉冲,进行一次减一操作,并将结果输出,由于输入的时钟频率是1Hz,所以显示的时间间隔是1s,与现实生活中的时间保持一致。其仿真结果如图3-5所示,由图可得,该模块将45秒的倒计时信号装载进去,并进行减一操作。

图3-5南北方向倒计时仿真图

3.6 译码模块设计

译码模块程序用来将输入的两位倒计时数值,分解成两个整形数值,以此供后面的译码显示模块译码显示。在译码程序TO_8421_BCD模块仿真图中,DJS_EW_OUT,DJS_SN_OUT为上一倒计时模块的输出信号,其范围在0~80,所有倒计时的范围都包含其内;DJS_EW_OUT_SW,DJS_EW_OUT_GW,DJS_SN_OUT_SW,DJS_SN_OUT_GW为输出信号,其范围为0~9,代表了各个方向的倒计时的十位和个位,且数码管所有显示数字的范围均在其内。例如图中DJS_EW_OUT的值不断变化,相应的依次将其分解为十位(DJS_EW_OUT_SW)和个位(DJS_EW_OUT_GW),并依次递减,仿真结果符合预期,完全正确。仿真结果如图3-6所示:

图3-6 译码仿真结果图

3.7 译码显示模块设计

译码显示模块将上一模块译码模块输出的四个一位数译码成相对应的七位数码管段码,完成倒计时的译码和显示。在译码显示模块仿真图中,DJS_ EW_OUT_ SW,DJS_EW_OUT_GW,DJS_SN_OUT_SW,DJS_SN_OUT_GW是四位的输入信号,也是上一模块TO_8421_BCD的输出信号,它们的范围为数字0~9,DJS_EW_ OUT_SW1,DJS_ EW_ OUT_GW1,DJS_SN_OUT_SW1,DJS_SN_OUT_GW1对应的是相应的七位数码管显示段码的值。图中输出信号的值随着输入信号的变化而相应的变化,例如DJS_EW_OUT_SW为7,DJS_EW_OUT_GW为5时,DJS_EW_OUT_SW1为00000111(a、b、c、d、e、f、g依次对应着1110000,最高位的0表示是数码管的类型是共阴的),表示数码管显示7,DJS_EW_OUT_GW1为01101101,表示数码管显示5。仿真完全正确,符合预期。其仿真结果如图3-7所示:

图3-7 译码显示模块仿真图

3.8 顶层文件模块设计

顶层设计采用VHDL语言描述方式实现,并在工程文件仿真过后通过工具菜单自动生成原理图文件,从而是设计原理更加清晰明朗。

在顶层设计VHDL描述中,共包含7个元件,分别是:1、分频器模块,2、状态控制模块,3、交通信号灯显示模块,4、东西方向倒计时模块设计,5、南北方向倒计时模块设计,6、译码模块设计,7、译码显示模块设计。这7个模块经过程序设计、编译仿真,结果均能够达到设计要求。最后在顶层设计中,通过元件例化语句将这7个模块连接起来,生成顶层文件。在顶层设计中,RESET是复位信号,输入的时钟频率CLK是50MHz,经过分频50M的分频器后,变为频率为1Hz的时钟信号,周期为1s,用此时钟信号来控制整个系统的步调,与现实生活中交通灯的步调保持一致。EW_LRGY、SN_LRGY 分别为东西和南北方向上交通信号灯的状态,EW_DJS_DISPLAY、SN_DJS_DISPLAY为东西

和南北方向红绿灯倒计时间。另外,所有的数码管和二极管均为共阴极显示。例如,在EW_LRGY为01000000(即东西方向红灯亮)、SN_LRGY为10000000(即南北方向左转灯亮)时,EW_DJS_DISPLAY的前八位表示倒计时的十位,后八位表示倒计时的个位;图中EW_DJS_DISPLAY的前八位都是00000111表示7,后八位01111101表示6,后八位01101101表示5,后八位01100110表示4;SN_DJS_DISPLAY的前八位是01001111表示3,后八位01011011表示2,后八位00000110表示1,后八位00111111表示0,以上表明红绿灯和倒计时时间显示符合预期。仿真结果如图3-8所示:

图3-8 顶层文件波形仿真图

4 实训心得体会

本次EDA技术实训的交通灯采用的是Altera公司CycloneII系列的EP2C5T144芯片作为核心最小系统,它可以方便嵌入到实际的交通灯应用系统中,可以完成简单的逻辑控制、数据采集、信号处理、数学计算等功能;使用QuartusII软件作为开发平台;采用自顶向下的设计思路对系统进行模块化设计和综合,并通过波形仿真和硬件实现两种方式实现并验证交通灯的功能。为期两周的实训,我利用网络和图书馆的有效资源,搜集并下载了大量的关于用FPGA做交通灯设计的资料;然后精心阅读,在老师的指导督促下,并和周围同学进行有效学习和沟通,最终确定了自己的设计方案;最后按照既定的设计方案,通过努力有步骤的实现了交通灯的设计。

通过此次实训设计,让我加深了FPGA的理解,更加熟练了VHDL语言,同时,我深深体会到了通过FPGA设计数字电路的方便、简洁的特点,这让我相信未来数字电路的应用必将更加广泛。另外,我还体会到:从事开发设计工作,一定要保持个勤奋、踏实、严谨的工作态度,这样才能更好的完成工作。最后,我觉得在交通灯控制系统设计中还可以增加一些实用功能,如:1、针对弱视或色盲人群进行声音提示;2、在遇到突发状况时,可以将红绿灯改为紧急模式控制;3、当有119、120等特种车辆通过时,系统可转为特种车放行,其他车辆禁行。

但是这次实训我也发现自己的很多不足之处。在设计过程中我发现自己考虑问题很不全面,自己的专业知识掌握的很不牢靠,所掌握的编程语句还不够,很多程序都看不懂,我希望自己的这些不足之处能在今后的工作和学习中得到改善。而且,通过这次实训,我懂得了学习的重要性,学会了坚持和努力,这将为以后的学习做出了最好的榜样!

还有光有知识是不行的,还得有能力、有技术,单干也是不行的,要适当寻求合作,那样才能更好实现我们的价值。在实训中及社会上,我们都要懂得虚心地向别人学习,即使你觉得自己很厉害,但总有一些东西你是不懂的,所以不懂要多向别人请教,这样才能更好地提高自己的水平和能力,全面发展自己。

谢辞

在这短短的两周EDA实训中,在这期间同学们都在努力学习,认真做自己各自的实训。在此我要特别感谢各位指导老师们对我的指导,还有各位同学对我的帮助,尤其感谢老师在百忙之中抽出时间为我们讲解设计原理,同时,还要感谢和我一起努力的各位同学,在他们对我的帮助很我自己的努力下,我才能顺利地完成这次实训。

这次实训我受匪颇多,但这多是得益于老师无微不至的关心和细心的教导。在实训中,让我学到了不少知识,给了我一次复习学过的知识的机会,巩固了基础知识。也多亏同学们的无私的帮助和支持,在我无助的时候还是他们伸出他们的友爱之手,帮我渡过难关,在此我也真心的多谢他们默默的帮助。最后再次对老师们说声:谢谢!!

参考文献

[1] 潘松,黄继业.EDA技术实用教程(第三版).北京:科学出版社,2010.1

[2] 刘江海,涂传威,陈玮.EDA技术课程设计.武汉:华中科技大学出版社,2009.5

[3] 夏路易.基于EDA的电子技术课程设计.北京:电子工业出版社,2009.1

[4] 王金明,周顺.数字系统设计与VHDL.北京:电子工业出版社,2010.5

[5] 邹彦,庄严,邹宁.EDA技术与数字系统设计.北京:电子工业出版社,2007.4

[6] 林明权,马维旻. VHDL数字控制系统设计范例.北京:电子工业出版社,2003.1

简易交通灯控制电路的设计课程设计

长安大学 电子技术课程设计 题目简易交通信号灯控制器 班级 姓名黄红涛指导教师温 凯歌 日期 前言 在现代城市中,人口和汽车日益增长,市区交通也日益拥挤,人们的安全问题也日益重要。因此,红绿交通信号灯成为交管部门管理交通的重要工具之一。有了交通灯之后人们的安全出行有了很大的保障。 自从交通灯诞生以来,其内部的电路控制系统就不断的被改进,设计方法也开始多种多样,从而使交通灯显得更加智能化。尤其是近几年来,随着电子与计算机技术的飞速发展,电子电路分析和设计方法有了很大的改进,电子设计自动化也已经成为现代电子系统中不可缺少的工具和手段,这些为交通灯控制电路的设计提供了一定的技术基础。 因此,在本次课题为简易交通灯的课程设计中,通过采用数字电路对交通灯控制电路的设计,提出使交通灯控制电路用数字信号自动控制十字路口两组红、黄、绿交通灯的状态转换的方法,指挥各种车辆和行人安全通行,实现十字路口交通管理的自动化。

本设计分为两个部分:第一部分是由定时器、时钟脉冲驱动和控制器组成的秒脉冲信号发生装置;第二部分是有译码器、发光二极管和数码管组成的交通信号灯以及时间显示装置。各部分采用分模块设计,正文中详细介绍了各模块的功能和原理。 为了完成本次设计,参阅了大量的资料,包括所用到的芯片的详细中英文资料。搜集和查阅资料是一个漫长但是非常重要的过程,获取各模块电路原理,然后经过讨论比较,结合课题要求,确定出一套最合适的方案。小组人员花费几天时间,通过图书馆和上网查阅资料,分别查阅到相应资料。经过商讨,结合现有资料,制定基本框架,并基本定出电路图。在MULTISIM软件里进行电路仿真,来验证电路的正确性。通过仿真来验证实验原理和电路的正确性。在整个过程中,充分发挥主观能动性,将平时所学的理论知识和实际相结合,往往理论可行的东西,实际并不一定能出现结果,这就是我们需要解决的问题,通过问老师或者查资料来分析解决问题。最后确定仿真没有错误后,汇总电路图。 本设计分为两大部分,交通信号灯以及译码显示电路(时间显示)部分由黄红涛同学和韩白雨同学负责主导设计;秒脉冲信号发生以及控制部分由任永刚同学负责,最后进过整合后得到完整系统。 由于缺少实践经验,并且知识有限,所以本次课程设计中难免存在缺点和错误,敬请老师批评指正。 黄红涛 2010年12月29日 目录 前言 (2)

(完整版)基于FPGA的智能交通灯的设计毕业设计

目录 摘要 ............................................................. I 1 前言 (1) 2 交通红绿灯控制电路的发展与技术现状 (2) 2.1 交通控制系统以及交通红绿灯控制电路的发展现状 (2) 2.2 智能交通红绿灯控制电路技术的现状 (3) 3 VHDL、FPGA、Quartus ii简介 (5) 3.1 VHDL简介 (5) 3.1.1 VHDL简介 (5) 3.1.2 VHDL语言的特点 (6) 3.2 FPGA简介 (8) 3.2.1 PLD器件的设计特点 (8) 3.2.2 FPGA的基本结构 (10) 3.2.3 采用FPGA设计逻辑电路的优点 (11) 3.3 Quartus II 的简介 (12) 4 具体方案论证与设计 (13) 4.1 具体方案论证 (13) 4.2系统算法设计 (15) 4.3 具体电路原理图 (16) 4.4 电路仿真图 (16) 5 实验结果 (17) 总结 (18) 参考文献 ......................................... 错误!未定义书签。附录: .. (19)

基于FPGA的十字路口交通信号灯 摘要 本文主要介绍十字路口交通灯控制器的设计。首先,介绍交通控制系统以及交通红绿灯控制电路的发展现状;然后采用硬件描述语言进行的交通灯控制器设计。重点介绍了控制系统各部分的设计,以及各个模块之间的同步处理。为了克服交通信号灯控制系统传统设计方法的弊端,更加适应城镇交通现状,利用VHDL语言、采用层次化混合输入方式,设计了具有3种信号灯和倒计时显示器的交通信号灯控制系统,在 QuartusⅡ下进行仿真,并下载到FPGA中制作成实际的硬件电路进行了模拟运行.使用该方法设计的交通灯控制系统电路简单、运行可靠、易于实现,可实现对交通信号的控制和显示功能。 关键词 FPGA;QUARTUS ii;HDPLD;十字路口交通灯控制器; Based on FPGA intersection traffic lights Abstract This paper describes the design of intersection traffic signal controller.First, the introduction of traffic control systems and traffic light control circuit of the development status; then using language designed for the traffic light controller.Focus on various parts of the control system

基于FPGA下的交通灯控制器设计

引言 随着城乡的经济发展,车辆的数量在迅速的增加,交通阻塞的问题已经严重影响了人们的出行。 现在的社会是一个数字化程度相当高的社会,很多的系统设计师都愿意把自己的设计设计成集成电路芯片,芯片可以在实际中方便使用。随着EDA技术的发展,嵌入式通用及标准FPGA器件的呼之欲出,片上系统(SOC)已经近在咫尺。FPGA/CPLD 以其不可替代的地位及伴随而来的极具知识经济特征的IP芯片产业的崛起,正越来越受到业内人士的密切关注。FPGA就是在这样的背景下诞生的,它在数字电路中的地位也越来越高,这样迅速的发展源于它的众多特点。交通等是保障交通道路畅通和安全的重要工具,而控制器是交通灯控制的主要部分,它可以通过很多种方式来实现。在这许许多多的方法之中,使用FPGA和VHDL语言设计的交通灯控制器,比起其他的方法显得更加灵活、易于改动,并且它的设计周期性更加短。 城市中的交通事故频繁发生,威胁着人们的生命健康和工作生活,交通阻塞问题在延迟出行时间的同时,还会造成更多的空气污染和噪声污染。在这种情况下,根据每个道路的实际情况来设置交通灯,使道路更加通畅,这对构建和谐畅通的城市交通有着十分重要的意义。

第一章软件介绍 1.1 QuartusⅡ介绍 本次毕业设计是基于FPGA下的设计,FPGA是现场可编程门阵列,FPGA开发工具种类很多、智能化高、功能非常的强大。可编程QuartusⅡ是一个为逻辑器件编程提供编程环境的软件,它能够支持VHDL、Verilog HDL语言的设计。在该软件环境下,设计者可以实现程序的编写、编译、仿真、图形设计、图形的仿真等许许多多的功能。在做交通灯控制器设计时选择的编程语言是VHDL语言。 在这里简单的介绍一下QuartusⅡ的基本部分。图1-1-1是一幅启动界面的图片。在设计前需要对软件进行初步的了解,在图中已经明显的标出了每一部分的名称。 图 1-1-1 启动界面 开始设计前我们需要新建一个工程,首先要在启动界面上的菜单栏中找到File,单击它选择它下拉菜单中的“New Project Wizard”时会出现图1-1-2所显示的对话框,把项目名称按照需要填好后单击Next,便会进入图 1-1-3 显示的界面。

交通灯控制电路设计.doc

百度文库 长沙学院 电子技术 课程设计说明书 题目交通灯控制电路设计 系( 部) 电子信息与电气工程系 专业 ( 班级 ) 电气工程及其自动化 姓名龙欣 学号B214 指导教师张海涛 起止日期 电子技术课程设计任务书(27) 系(部):电子信息与电气工程系专业:电气工程及其自动化指导教师:张海涛课题名称 交通灯控制电路设计

百度文库 由一条主干道和一条支干道的汇合点形成十字交叉路口,为确保车辆安全、迅速地通行,在交叉路口的每个入口处设置了红、绿、黄三色信号灯。红灯亮禁止通行; 绿灯亮允许通行;黄灯亮则给行驶中的车辆有时间停靠在禁行线外。实现红、绿灯的 自动指挥对城市交通管理现代化有着重要的意义。 设设计要求: 1.掌握交通灯控制电路的设计、组装与调试方法。 计 2.熟悉数字集成电路的设计和使用方法,能够运用所学知识设计一定规模的电路。 内 设计任务: 容 1.用红、绿、黄三色发光二极管作信号灯。 及 2.当主干道允许通行亮绿灯时,支干道亮红灯,而支干道允许亮绿灯时,主干道亮红 要 灯。 求 3.主支干道交替允许通行,主干道每次放行30s、支干道20s。设计 30s 和20s 计时 显示电路。 4.在每次由亮绿灯变成亮红灯的转换过程中间,要亮 5s 的黄灯作为过渡,以使行驶中 的车辆有时间停到禁止线以外,设置5s 计时显示电路。 1、系统整体设计; 设 2、系统设计及仿真; 计 3、在 Multisim 或同类型电路设计软件中进行仿真并进行演示; 工 作 4、提交一份完整的课程设计说明书,包括设计原理、仿真分析、调试过程,量参考文献、设计总结等。 起止日期(或时间量)设计内容(或预期目标)备注 进 第一天课题介绍,答疑,收集材料 第二天设计方案论证 度 安第三天进行具体设计 排 第四天进行具体设计 第五天编写设计说明书 指导老师 月教研室 年日 意见意见 年月日 长沙学院课程设计鉴定表 姓名龙欣学号B214专业电气班级 2

通过Verilog实现交通灯设计实验报告

电子科技大学 实 验 报 告 一、实验室名称:虚拟仪器实验室 二、实验项目名称:交通灯设计实验 三、实验学时:4学时 四、实验原理

假设交通灯处于南北和东西两条大街的“十”字路口,如图1所示。用FPGA 开发板的LED 灯来模拟红、黄、绿3种颜色信号,并按一定顺序、时延来点亮LED ,如图2所示。图3给出了交通灯的状态转移图。设计使用频率为1Hz 的时钟来驱动电路(注1:仿真时采用1MHz 的时钟来驱动电路),则停留1个时钟可得到1S 的延时,类似停留3个时钟可得到3S 的延时,停留15个时钟可得到15S 的延时(注2:开发板工作时钟为50MHz )。 北 南 西东 图1. 六个彩色LED 可以表示一组交通信号灯 图2. 交通灯状态 南北 东西 红 黄 绿 红 黄 绿 S0 1 0 0 0 0 1 S1 1 0 0 0 1 0 S2 1 0 0 1 0 0 S3 0 0 1 1 0 0 S4 0 1 0 1 0 0 S5 1 0 0 1 0 0

图3. 交通灯的状态转移图 顶层模块 时钟分频模块状态机跳转模块 图4. 交通灯的原理框图 五、实验目的 本实验是有限状态机的典型综合实验,掌握如何使用状态转移图来定义Mealy状态机和Moore状态机,熟悉利用HDL代码输入方式进行电路的设计和仿真的流程,掌握Verilog语言的基本语法。并通过一个交通灯的设计掌握利用EDA软件(Xilinx ISE 13.2)进行HDL代码输入方式的电子线路设计与仿真的详细流程。。 六、实验内容 在Xilinx ISE 13.2上完成交通灯设计,输入设计文件,生成二进制码流文件下载到FPGA开发板上进行验证。 七、实验器材(设备、元器件)

交通灯电路设计

第三章数字电路课程设计 课程设计1:交通灯逻辑控制电路设计 一、简述: 为了确保十字路口的车辆顺利、畅通地通过,往往都采用自动控制信号灯来进行指挥。其中红灯(R)亮,表示该条道路禁止通行;黄灯(Y)亮表示停车;绿灯(G)亮表示允许通行。交通灯控制电路的系统框图如图3.1.1所示: 图3.1.1 交通灯控制器系统框图 二、设计任务和要求 设计一个十字路口交通信号灯控制器。基本要求如下: 1.满足图3.1.2顺序工作流程。图中设南北方向的红、黄、绿灯分别为NSR、NSY、NSG,东西方向的红、黄、绿灯分别为EWR、EWY、EWG。它们的工作方式有些必须是并行进行的,即南北方向绿灯亮,东西方向红灯亮;南北方向黄灯亮,东西方向红灯亮;南北方向红灯亮,东西方向绿灯亮;南北方向红灯亮,东西方向黄红灯亮。 2.应满足两个方向的工作时序:即东西方向亮红灯时间应等于南北方向亮黄、绿灯时间之和,南北方向亮红灯时间应等于东西方向亮黄、绿灯时间之和。时序工作流程图3.1.3所示。图3.1.3中,假设每个单位时间为3秒,则南北、东西方向绿、黄、红灯亮时间分别15秒、3秒、18秒,一次循环为36秒。其中红灯亮

的时间为绿灯、黄灯亮的时间之和。 图3.1.3 交通灯时序工作流程图 3.十字路口要有数字显示,作为时间提示,以便人们更直观地把握时间。具体为:当某方向绿灯亮时,置显示器为0,然后以每秒加1计数方式方式工作,直至加到绿灯灭为止;当黄灯亮时,置显示器为0,然后以每秒加1计数方式方式工作,直至加到黄灯灭为止;当红灯亮时,置显示器为0,然后以每秒加1计数方式方式工作,直至加到红灯灭为止。例如:假设每个单位时间为3 秒,当南北方向从红灯转换成绿灯时,置南北方向数字显示为0,并使数显计数器开始加“1”计数,当加到绿灯灭而黄灯亮时,数显的值应从14跳回到0,同时黄灯亮,黄灯计数,当数显值从2跳到0时,此时黄灯灭,而南北方向的红灯亮;红灯计数加“1”计数,当加到红灯灭时,数显的值应从17跳回到0。同时,使得东西方向的绿灯亮,并置东西方向开始计数。 4.扩展功能: (1)用LED 发光二极管模拟汽车行驶电路。当某一方向绿灯亮时,这一方向的1 2 3 4 5 6 7 8 9 10 11 12 1 2 3 4 5 6 NSG t

交通灯控制电路

交通灯控制电路 交通灯的课程设计 [要点提示] 一、实验目的 二、实验预习要求 三、实验原理 四、实验仪器设备 五、练习内容及方法 六、实验报告 七、思考题 [内容简介] 一、设计任务与要求 1(设计一个十字路口的交通灯控制电路,要求甲车道和乙车道两条交叉道路上的车辆交替运行,每次通行时间都设为25秒; 2(要求黄灯先亮5秒,才能变换运行车道; 3(黄灯亮时,要求每秒钟闪亮一次。 二、实验预习要求 1(复习数字系统设计基础。 2(复习多路数据选择器、二进制同步计数器的工作原理。 3(根据交通灯控制系统框图,画出完整的电路图。 三、设计原理与参考电路 1(分析系统的逻辑功能,画出其框图

交通灯控制系统的原理框图如图12、1所示。它主要由控制器、定时器、译码器和秒脉冲信号发生器等部分组成。秒脉冲发生器是该系统中定时器和控制器的标准时钟信号源,译码器输出两组信号灯的控制信号,经驱动电路后驱动信号灯工作,控制器是系统的主要部分,由它控制定时器和译码器的工作。图中: TL: 表示甲车道或乙车道绿灯亮的时间间隔为25秒,即车辆正常通行的时间间隔。定时时间到,TL=1,否则,TL=0。 TY:表示黄灯亮的时间间隔为5秒。定时时间到,TY=1,否则,TY=0。 ST:表示定时器到了规定的时间后,由控制器发出状态转换信号。由它控制定时器开始下个工作状态的定时。 2(画出交通灯控制器的ASM图12、1 交通灯控制系统的原理框图(Algorithmic State Machine,算法状 态机)

(1)图甲车道绿灯亮,乙车道红灯亮。表示甲车道上的车辆允许通行,乙车道 禁止通行。绿灯亮足规定的时间隔TL时,控制器发出状态信号ST,转到下一工作状态。 (2)甲车道黄灯亮,乙车道红灯亮。表示甲车道上未过停车线的车辆停止通 行,已过停车线的车辆继续通行,乙车道禁止通行。黄灯亮足规定时间间隔TY 时,控制器发出状态转换信号ST,转到下一工作状态。 (3)甲车道红灯亮,乙车道黄灯亮。表示甲车道禁止通行,乙车道上的车辆允 许通行绿灯亮足规定的时间间隔TL时,控制器发出状态转换信号ST,转到下一工作状态。 (4)甲车道红灯亮,乙车道黄灯亮。表示甲车道禁止通行,乙车道上位过县停 车线的车辆停止通行,已过停车线的车辆停止通行,已过停车线的车辆继续通行。黄灯亮足规定的时间间隔TY时,控制器发出状态转换信号ST,系统又转换到第(1)种工作状态。 交通灯以上4种工作状态的转换是由控制器器进行控制的。设控制器的四种状态编码为00、01、11、10,并分别用S0、S1、S3、S2表示,则控制器的工作状态及功能如表12、1所示,控制器应送出甲、乙车道红、黄、绿灯的控制信号。为简便起见,把灯的代号和灯的驱动信号合二为一,并作如下规定: 表12、1 控制器工作状态及功能 控制状态信号灯状态车道运行状态 S0(00) 甲绿,乙红甲车道通行,乙车道禁止通行 S1(01) 甲黄,乙红甲车道缓行,乙车道禁止通行 S3(11) 甲红,乙绿甲车道禁止通行,甲车道通行 S2(10) 甲红,乙黄甲车道禁止通行,甲车道缓行 AG=1:甲车道绿灯亮;

交通灯控制电路设计与仿真

交通灯控制电路设计与仿真 一、实验目的 1、了解交通灯的燃灭规律。 2、了解交通灯控制器的工作原理。 3、熟悉 VHDL 语言编程,了解实际设计中的优化方案。 二、实验原理 交通灯的显示有很多方式,如十字路口、丁字路口等,而对于同一个路口又有很多不同的显示要求,比如十字路口,车辆如果只要东西和南北方向通行就很简单,而如果车子可以左右转弯的通行就比较复杂,本实验仅针对最简单的南北和东西直行的情况。要完成本实验,首先必须了解交通路灯的燃灭规律。本实验需要用到实验箱上交通灯模块中的发光二极管,即红、黄、绿各三个。依人们的交通常规,“红灯停,绿灯行,黄灯提醒”。其交通的燃灭规律为:初始态是两个路口的红灯全亮,之后,东西路口的绿灯亮,南北路口的红灯亮,东西方向通车,延时一段时间后,东西路口绿灯灭,黄灯开始闪烁。闪烁若干次后,东西路口红灯亮,而同时南北路口的绿灯亮,南北方向开始通车,延时一段时间后,南北路口的绿灯灭,黄灯开始闪烁。闪烁若干次后,再切换到东西路口方向,重复上述过程。 在实验中使用 8 个七段码管中的任意两个数码管显示时间。东西路和南北路的通车时间均设定为 20s。数码管的时间总是显示为 19、18、17……2、1、0、19、18……。在显示时间小于 3 秒的时候,通车方向的黄灯闪烁。 三、实验内容 本实验要完成任务就是设计一个简单的交通灯控制器,交通灯显示用实验箱 的交通灯模块和七段码管中的任意两个来显示。系统时钟选择时钟模块的 1KHz 时钟,黄灯闪烁时钟要求为 2Hz,七段码管的时间显示为 1Hz脉冲,即每 1s 中递 减一次,在显示时间小于 3 秒的时候,通车方向的黄灯以 2Hz 的频率闪烁。系统 中用 S1 按键进行复位。 实验箱中用到的数字时钟模块、按键开关、数码管与 FPGA 的接口电路,以及 数字时钟源、按键开关、数码管与 FPGA 的管脚连接在以前的实验中都做了详细说 明,这里不在赘述。交通灯模块原理与 LED 灯模块的电路原理一致,当有高电平输 入时 LED 灯就会被点亮,反之不亮。只是 LED 发出的光有颜色之分。其与 FPGA 的 管脚连接如下表 19-1 所示: 四、实验步骤 1、打开 QUARTUSII 软件,新建一个工程。 2、建完工程之后,再新建一个 VHDL File,打开 VHDL 编辑器对话框。

交通灯控制电路设计数电课程设计+数字电路课程设计

数字电子技术课程设计 简易交通灯控制逻辑电路设计 专业班级:09自动化一班 时间:2011.12.12-2011.12.19 姓名: 指导教师: :郭计云

大同大学电气工程系

目录 一、课程题目 (2) 二、设计要求 (2) 三、系统框图及说明 (2) 四、单元电路设计 (4) 五、仿真过程与效果分析 (12) 六、体会总结 (13) 七、参考文献 (13)

《一》课程设计题目: 交通灯控制电路设计 《二》设计要求: 1、设计一个十字路口的交通灯控制电路,要求南北方向(主干道)车道和东西方向(支干道)车道两条交叉道路上的车辆交替运行,主干道每次通行时间都设为30秒、支干道每次通行时间为20秒,时间可设置修改。 2、在绿灯转为红灯时,要求黄灯先亮5秒钟,才能变换运行车道; 3、黄灯亮时,要求每秒闪亮一次。 4、东西方向、南北方向车道除了有红、黄、绿灯指示外,每一种灯亮的时间都用显示器进行显示(采用计时的方法)。 5、同步设置人行横道红、绿灯指示。 《三》系统框图及说明: 1、分析系统的逻辑功能,画出其框图 交通灯控制系统的原理框图如图1-1 所示。它主要由计时电路、主控电路、信号 灯转换器和脉冲信号发生器组成。脉冲信号发生器用的是555 定时器;计时计数器是由74LS160 来完成、输出四组驱动信号T0 和T3 经信号灯转换器(4 片7448)来控制信号灯工作,主控电路是系统的主要部分,由它控制信号灯转换器的工作。

(图1-1)2、信号灯转换器

状态与车道运行状态如下:S0:支干道车道的绿灯亮,车道通行,人行道禁止通行;主干道车道的红灯亮,车道禁止通行,人行道通行 S1:支干道车道的黄灯亮,车道缓行,人行道禁止通行;主干道车道的红灯亮,车道禁止通行,人行道通行 S2:支干道车道的红灯亮,车道禁止通行,人行道通行;主干道车道的绿灯亮,车道

基于FPGA的交通灯课程设计报告

总体设计要求和技术要点 1.任务及要求 (1)设计一个交通信号灯控制器,由一条主干道和一条支干道汇合成十字路口,在每个入口处设置红、绿、黄三色信号灯,红灯亮禁止通行,绿灯亮允许通行,黄灯亮则给行驶中的车辆有时间停在禁行线外。 (2)红、绿、黄发光二极管作信号灯,用传感器或逻辑开关作检测车辆是否到来的信号。 (3)主干道处于常允许通行的状态,支干道有车来时才允许通行。主干道亮绿灯时,支干道亮红灯;支干道亮绿灯时,主干道亮红灯。 (4)主、支干道均有车时,两者交替允许通行,主干道每次放行45秒,支干道每次放行25秒,设立45秒、25秒计时、显示电路。 (5)在每次由绿灯亮到红灯亮的转换过程中,要亮5秒黄灯作为过渡,使行驶中的车辆有时间停到禁行线外,设立5秒计时、显示电路。 (6)按《湖南涉外经济学院课程设计管理办法》要求提交课程设计报告。 工作内容及时间进度安排 第17周:周1---周2 :立题、论证方案设计 周3---周5 :程序设计与调试 第18周:周1---周3 :硬件调试与测试、撰写课程设计报告 周4---周5 :验收答辩 课程设计成果 1.与设计内容对应的软件程序 2.课程设计总结报告

摘要 本实验为自主选题设计实验,实验选择具有倒计时显示功能的红黄绿三色交通设计,实验中采用VHDL 作为设计功能描述语言,选用Altera公司的EP1K30144-PIN TQFP最为主控芯片,实验报告中简要介绍了FPGA器件,并给出了设计原理图,详细的介绍了交通灯的设计流程,实验报告中还附有实验代码实验结果照片图。 Abstract This experiment designed for independent choice experiment, experiment choice which has the function of the countdown display red yellow green traffic design, description language (VHDL as design function is applied in the experiments, the most main control chip select MAX II EPM240T100C5 Altera company, experiment report, this paper briefly introduces the MAX II device series, and gives the design diagram, detailed introduces the traffic lights of the design process, the experiment report with the code results photo graph.

交通灯控制逻辑电路设计

交通灯控制逻辑电路设计 一、简述 为了确保十字路口的车辆顺利畅通地行驶,往往都采用自动控制的交通信号灯来进行指挥。其中红灯(R)亮,表示该条道路禁止通行;黄灯(Y)亮表示停车;绿灯(G)亮表示允许通行。 交通灯控制器的系统框.图如图4.1 所示。

二、设计任务和要求 设计一个十字路口交通信号灯控制器,其要求如下: 1.设南北方向的红、黄、绿灯分别为NSR,NSY,NSG;东西方向的红、黄、绿灯 分别为EWR,EWY,EWG,则满足图4.1 的工作 流程并且可以并行工作: NSG(EWR)→ NSR(EWG),黄灯用 于闪烁提示绿灯变为红灯。 2.满足两个方向的工作时序:东西方向红灯亮的时间应等于南北方 向黄、绿灯亮的时间之和;南北方向红灯亮的时间应等于东西方 向黄、绿灯亮的时间之和。时序工作流程见图4.2所示:

图4.3中,假设每个单位时间为2秒,则南北、东西方向的绿、黄、红灯亮的时间分别为12秒、2秒、12秒,一次循环为24秒。 其中红灯亮的时间为绿灯、黄灯亮的时间之和,黄灯是间歇闪耀。 3.十字路口要有数字显示装置,作为时间提示,以便人们更直观地 把握时间。具体要求为:当某方向绿灯亮时,置计数器为某一个 数值,然后以每秒减1的计数方式工作,直至减到数为“0”,十 字路口红、绿灯交换,一次工作循环结束,进入另一个方向的工 作循环。 例如:当南北方向从红灯转换成绿灯时,置南北方向数字显示为 12,并使数显计数器开始减“1”计数,当减法计数到绿灯灭而黄 灯亮(闪耀)时,数码管显示的数值应为2,当减法计数到“0” 时,黄灯灭,而南北方向的红灯亮;同时,使得东西方向的绿灯 亮,并置东西方向的数码管的显示为12。 4.可以手动调整脉冲时间,夜间为黄灯闪耀。 三、设计方案提示

交通灯控制电路

武汉工程大学邮电与信息工程学院实验报告 姓名张宇学号7402150330 指导老师 专业07自动化班级 03 实验室日期 实验题目交通灯控制器的EWB仿真 一、实验目的 通过前面几节的阐述,大家对EWB软件中的元件及仪器的应用有了一定的概念,所以这一节选择了综合性较强的电路——交通灯控制器的仿真设计。 二、实验内容 设计一个主要街道和次要街道十字路口的交通灯控制器。当主要街道绿灯亮6秒时,次要街道的红灯亮;接着主要街道黄灯亮2秒,次要街道的红灯仍然亮;紧接着次要街道的绿灯亮3秒,这时主要街道红灯亮;然后次要街道的黄灯亮1秒,主要街道红灯依然亮;最后主要街道绿灯亮,次要街道变红灯,依次顺序循环控制。 三、实验原理 根据以上要求可知主要街道从绿灯亮到下一次绿灯亮共需12秒,由上述要求可列出这六个灯的真值表,如表8-2所示,其中MG、MY、MR、CG、CY、CR分别表示主要街道的绿灯、黄灯、红灯,次要街道的绿灯、黄灯、红灯。各灯的函数表达式克由EWB的逻辑转换仪获得。因为一次循环需要12秒,所以输入端可应用74LS163芯片来完成时间上的控制。其管脚和功能表基本上与74LS160一样,只是它是二进制计数器,时钟为下跳边触发。首先,12秒一循环相当是一

个模12技术,因此应将Q D、Q B和Q A通过一与非门接到芯片的CR清零端;其次,CP时钟端应输入1Hz的脉冲信号(这一元件可在电源元件库中找到,点中该元件后按鼠标右键,在属性一栏中修改它的输出频率为1Hz);最后,根据下面所得的函数表达式完成电路设计。 M G D C D B D C D B =+=? = M Y C B = M R D =+=? C G D B D A D B D A = C Y D B A = C R D 四、交通灯控制器电路的实现及结果仿真 由上述函数式可画出相应的电路图,按图所示的电路进行仿真,结果达到预期目的。为更直观地观察各灯之间的关系,我们在上图的基础上,街上逻辑分析仪进行仿真测试。如果出错,从图中也能很直观

基于FPGA的交通灯设计说明

交通信号灯控制器

目录 第一章系统设计 1.1设计要求 (3) 1.2 方案比较 (3) 1.3方案论证 (3) 1.3.1总体思路 (4) 1.3.2设计方案 (5) 第二章单元电路设计 2.1 4位二进制计数器 (6) 2.2 两位二进制计数器 (6) 2.3定时时间到检测电路 (6) 2.4红黄绿灯输出控制电路 (6) 2.5计时器 (6) 第三章软件设计 3.1用VHDL编写程序 (6) 3.2 程序流程 (7) 3.3程序清单及仿真 (7) 第四章系统测试 (7) 第五章结论 (8) 参考文献 (9) 附录 (10)

0 引言 随着经济的飞速发展,现代化交通管理成了当今的热点问题。一个完善的交通控制功能,可使混乱的交通变得井然有序,从而保障了人们的正常外出。本系统通过设计一交通信号灯控制器,达到交通控制的目的。除实现交通灯基本的控制功能外,系统还可显示该灯本次距灯灭所剩的时间,具有更完善的控制功能,使行人提前做好起、停准备,具有更强的实用性。 第1章 系统设计 1.1设计要求 (1) 交通灯从绿变红时,有4秒黄灯亮的间隔时间。 (2) 交通灯红变绿是直接进行的,没有间隔时间。 (3) 主干道上的绿灯时间为20秒,支干道的绿灯时间为10秒。 (4) 在任意时间,显示每个状态到该状态结束所需要的时间。 1.2方案比较 要实现对交通灯的控制,有很多的方案可供选择。 方案一:由两块CMOS 集成电路完成定时和序列控制功能,三只双向晶体管完成实际的电源切换功能。电路中采用10V 负电源(可由市电电压经降压、整流、滤波、稳压而得)、CD4049集成电路、计数器CD4017等器件。其中双向晶闸管选用400V 、4A 的,二极管选用BY127型和1N4148型,稳压管选用10V 、1W 的。因直接使用市电工作,故在安装和使用时安全系数较低,且硬件电路复杂,所用器件多。 方案二:运用VHDL 语言分别控制分频和状态机两个模块, 即信号源经分频器分频后得到1Hz 脉冲,输出脉冲控制状态机中预置四个状态的循环,从而达到交通控制作用.该方案电路结构简单,使用器件少,易于安装和使用.但不宜于电路扩展,适用围小,应用不广泛. 方案三:采用VHDL 语言输入的方式实现交通信号灯控制器,并灵活运用了通用元件CBU14和CBU12作为4位二进制计数器和两位二进制计数器,简化了硬件电路,同时也给调试、维护和功能的扩展、性能的提高带来了极大的方便。 分析以上三种方案的优缺点,显然第三种方案具有更大的优越性、灵活性,所以采用第三种方案进行设计。 1.3 方案论证 1.3.1 总体思路 系统交通管理示意图如图1.3.1. 主干道 支干道 图1.3.1 路口交通管理示意图 由此可得出交通信号灯A 、B 、C 、D 的4种状态:

交通灯控制电路设计.

交通灯控制电路设计作者姓名:2B 专业名称:测控技术与仪器 指导教师:2B 讲师

摘要 本设计主要分为三大模块:输入控制电路、时钟控制电路和显示电路。以AT89C51单片机为中心器件来设计交通灯控制器,实现了AT89C51芯片的P0口设置红灯、绿灯和黄灯的燃亮功能;为了系统稳定可靠,采用了74LS14施密特触发器芯片的消抖电路,避免了系统因输入信号抖动产生误操作;显示时间直接通过AT89C51的P2口输出,由CD4511驱动LED数码管显示红灯燃亮时间。 关键词:AT89C51 LED显示交通灯控制

Abstract This design mainly divided into three modules: input control circuit, control circuit and the clock display circuit. With AT89C51 single-chip microcomputer as the center device to designing traffic light controller, realize the AT89C51 chip P0 mouth red lights, a green light and set up the yellow lights brighten function; In order to system is stable and reliable, and USES 74 LS14 Schmitt toggle circuit chip away shaking, to avoid the system for the input signal jitter produce false operation; Show time directly through the P2 mouth AT89C51 output, driven by CD4511 LED digital display red light lit the time. Keywords: AT89C51, LED, display, traffic, control

基于FPGA的十字路口交通灯控制器设计

石河子大学信息科学与技术学院 成绩存档 学期:2014 至2015学年第一学期 考试科目:电子EDA技术课程设计 专业:电子信息工程 班级:2012 (1)班 姓名: 学号: 任课教师:钟福如

目录 一、实验目的 (1) 二、测试方法 (1) 三、总的设计流程 (1) 四、交通灯控制器的具体设计方案 (1) 五、主要功能设计与仿真 (2) 1、时钟分频模块 (2) 2、交通灯控制模块 (3) 六、顶层文件 (8) 七、心得体会 (9) 八、参考文献 (10)

基于FPGA的十字路口交通灯控制器设计 一、实验目的: 弄懂交通灯的控制逻辑,注意是十字路口,分人行道与车道。车道分别有直行、左转、右转指示,且每个指示三种颜色:红绿黄,红绿转换时间设定2分钟,红与绿之间转换之前有30秒黄灯的闪烁;人行道有红、绿灯指示,红绿转换时间间隔2分钟,且在红绿转换之间有30秒的黄灯闪烁 二、测试方法: 输入技术脉冲信号,仿真波形的输出端的交通指示灯能按题目要求变化. 三、总的设计流程 首先根据交通灯控制器的功能要求,将功能要求转化成系统流程图,然后对系统进行模块的划分、定义各个模块的具体功能。再开始对各个模块用VHDL语言编程,并在Quartus II环境下进行编译及功能仿真。 四、交通灯控制器的具体设计方案

主干道 支 干 道 图1 十字路口交通灯控制器的平面图 实现红黄绿灯的自动指挥。通过交通灯控制器要能够使用于一条由主干道和支干道交汇处的十字路口(假设东西方向为主干道,南北方向为支干道)。且遵循“主干道优先考虑”原则,主、支干道红、绿灯亮的时间不完全一致,在正常情况下主干道允许车辆通行的时间更长。在绿灯转换为红灯的过程中有黄灯进行,使车辆有足够的时间停下来。另外由VHDL语言合理设计交通灯控制器的功能,以使绿、黄、红灯的转换有一个准确的转换顺序和时间间隔。依据设计要求,最终画出如图所示的系统框图。(图1)

交通灯控制电路的设计

交通灯控制电路的设计 一、设计任务与要求 设计一个十字路口的交通灯控制器,控制A,B 两条交叉道路上的车辆通行,东西方向为主干道A ,南北方向为副干道B ;具体要求如下: 1、每条道路设一组信号灯,每组信号灯有红、黄、绿3个灯组成,绿灯表示允许通过,红灯表示禁止通行,黄灯表示该车道上已过停车线的车辆继续通行,未过停车线的车辆停止通行。 2、主干道通行40秒,南北通行时间为20秒。 3、每次变换通行车道之前,要求黄灯先亮5s ,才能变换通行车道。 4、黄灯亮时,要求每秒闪烁一次。 二、方案设计与论证 首先根据设计的任务与要求,经过分析得出要设计的这个交通灯控制电路的功能满足以下几点: 1、控制主干道A 与副干道B 的信号灯的亮灭。 2、可以对主干道与副干道的信号灯亮的时间进行倒数计时。 3、实现黄灯的每秒闪烁。因此我们可以知道此电路应包含振荡电路、计数器电路、译码显示、主控制电路和信号灯译码驱动器等五个部分,并分析其原理图如图1所示并作出以下两种方案。 图1 方案一: 将整个电路工作循环周期65S 作为总时间,用74LS192芯片在此基础上进行分段,依次是40S 主干道绿灯亮副干道红灯亮,5S 主干道黄灯闪烁,20S 支干道红灯亮副干道绿灯亮,5S 副干道黄灯闪烁。再根据每一段的输出信号不同用基 计数器电路 振荡电路 主控制电路 信号灯译 码驱动器 译码显示

本逻辑门电路连接交通灯来实现每段时间不同的灯亮。此方案易于分析理解,缺点是相对于第二种方案可操作行比较差,需要的原件比较多,看起来比较复杂,不易实现,同时性价比较低。 方案二: 对红绿灯时段分别倒数计时,运用红绿灯变化时的高低电平对74LS192芯片进行置数,使之能分别进行40S、5S、20S倒数计时,再通过74LS160芯片的输出端进行各种逻辑组合运算控制红黄绿三种灯的亮灭,通过555定时器提供的脉冲信号控制黄灯的闪烁。此方案与方案一相比更易操作,用到的逻辑运算器件也比方案一要少,现实应用时可用性好,性价比较高。 三、单元电路设计与参数计算 1、振荡电路 555定时器是一种模拟和数字功能相结合的中规模集成器件,具有成本低,性能可靠的优点,只需外接几个电阻、电容,就可以实现多谐振荡器、单稳态触发器以及施密特触发器等脉冲产生与变换电路。 555定时器的功能表如下: 脉冲信号源选用555定时器产生,频率为1Hz,根据555定时器构成的多谐振荡器原理,可得出公式:振荡周期为T=0.7(R1+R2)C和振荡频率为f=1/T。各项数据可为R1=47KΩ,R2=51KΩ,C1=10uF,C2=10nF,根据555定时器的功能实现效果来连接电路,其电路图如图2所示。 图2 振荡电路

交通灯控制逻辑电路设计

黑龙江工业学院 数字电子技术课程设计报告 院系:电气与信息工程系 专业班级:14电气本八 姓名:耿振 学号:04991408005 指导教师:黄睿 报告成绩:

1.设计目的 为了确保十字路口的车辆顺利、畅通地通过,往往都采用自动控制的交通信号灯来进行指挥。其中红灯(R)亮表示该条道路禁止通行;黄灯(Y)亮表示停车;绿灯(G)亮表示允许通行。 设计一个十字路口的交通灯控制电路,要求甲车道和乙车道两条交叉道路上的车辆交替运行,每次通行时间都设为25秒;要求黄灯先亮5秒,才能变换运行车道;黄灯亮时,要求每秒钟闪亮一次 2.设计任务要求 要求东西方向的红、黄、绿灯和南北方向的红、黄、绿灯按照上面的工作时序进行工作,黄灯亮时应为闪烁状态; 1)南北和东西车辆交替进行,各通行时间24秒 2)每次绿灯变红灯时,黄灯先闪烁4秒,才可以变换运行方向 3)十字路口要有数字显示作为时间提示,以倒计时按照时序要求进行显示; 4)可以手动调整和自动控制,夜间为黄灯闪耀状态(选作:通行时间和黄灯闪亮时间可以在0-99秒内任意设) 3.设计方案选取与论证 依据功能要求,交通灯控制系统应主要由秒脉冲信号发生器、倒计时计数器电路和信号灯转换器组成,原理框图如图1所示。秒脉冲信号发生器是该系统中倒计时计数电路和黄灯闪烁点控制电路的标准时钟信号源。倒计时计数器输出两组驱动信号T5和T0,分别为黄灯闪烁和变换为红灯的控制信号,这两个信号经信号灯转换器控制信号灯工作。倒计时计数电路是系统的主要部分,由它控制信 (1

(2)夜晚工作模式 东西南北各方向黄灯亮,且每秒闪动一次,其他灯不亮。因此总设计图如下图所示: 成。振荡器是计时器的核心,振荡器的稳定度和频率的进度决定了计时器的准确度,可由石英晶体振荡电路或555定时器与RC 组成的多谐振荡器构成。一般来说,振荡器的频率越高,计时的精度就越高,但耗电量将增大,故在设计时,一定根据需要设计出最佳电路。石英晶体振荡器具有频率准确、振荡稳定、温度系数小的特点,但如果精度要求不高的时候可以采用555构成的多谐振荡器。 振荡周期与频率的计算公式为:T=(R 1+2R 2)Cln2=0.7(R 1+2R 2)C,电源电压为Vcc=12V ,其中电路图中C 1的作用是防止电磁干扰对振荡电路的影响,课程设计中要求输出T=1S ,选取电容为C=10nF ,R 1=28.86M Ω,根据振荡周期计算,选择电阻R 2=57.72M Ω。用multisim 进行仿真,仿真图如图所示: ? 555构成的多谐振荡器

基于FPGA的交通灯(verilog)

基于同步FSM交通信号控制器 试验目的 1、进一步熟悉FSM原理; 2、交通信号控制逻辑的抽象建模方法; 3、掌握同步有限状态机的置位与复位方法; 3、掌握编写可综合的FSM一般指导原则; 试验原理 Verilog HDL和VHDL亍为描述用于综合还只有十年的历史,可综合风格的VerilogHDL和VHD啲语法只是它们各自语言的一个子集;HDL的可综合性研究近年来非常活跃,可综合子集的国际标准目前尚未最后形成,因此,各厂商的综合器所支持的HDL子集也略有不同;对于有关可综合的VerilogHDL的内容我们只着重于介绍RTL算法级和门级结构的描述;把一个时序逻辑抽象成一个同步有限状态机是设计可综合VerilogHDL 模块的关键。有限状态机是设计各种时序逻辑电路的关键。具体的有限状态机的原理可以参看试验七有关原理的介绍。下面介绍一般的可综合有限状态机的编写原则 每个always 块只能有一个事件控制@(event_expression) ,而且要紧跟在 always 关键字后面; always 可以表示时序逻辑或者组合逻辑;也可以用always 块既表示电平敏感的锁存器又同时表示组合逻辑; 带有posedge或negedge关键字的事件表达式表示边沿触发的时序逻辑,没有posedge或negedge关键字的表示组合逻辑或者电平敏感的锁存器,或者两者都表示; 每个表示时序的always 块只能由一个时钟跳变沿触发,置位和复位最好也由该始终跳变沿触发; 每个在always 块中赋值的信号必须定义为reg 类型或者整型; Always 块中应该避免组合反馈回路; 实验步骤和实验内容 1、本试验交通信号控制灯的逻辑关系该交通信号灯控制器用于控制一条主干道与一 条乡村公路的交叉口的交通 ( 如图8-1 所示) ,它必须具有下面的功能;由于主干道上来往的车辆较多,因此控制主干道的交通信号灯具有最高优先级,在默认情况下,主干道的绿灯点亮;乡村公路间断性地有车经过,有车来时乡村公路的交通灯必须变为绿灯,只需维持一段足够的时间,以便让车通过。只要乡村公路上不再有车辆,那么乡村公路上的绿灯马上变为黄灯,然后变为红灯;同时,主干道上的绿灯重新点亮;一传感器用于监视乡村公路上是否有车等待,它向控制器输入信号X;如果X=1,则

交通灯控制电路设计

设计报告 设计者;053班 姓名:丁超群 一.课程设计题目: 交通灯控制电路设计 二.设计要求: 1、设计一个十字路口的交通灯控制电路,要求南北方向(主干道)车道和东西方向(支 干道) 车道两条交叉道路上的车辆交替运行,主干道每次通行时间都设为30秒、支干道每次通行间 为20秒,时间可设置修改。 2、在绿灯转为红灯时,要求黄灯先亮5秒钟,才能变换运行车道; 3、黄灯亮时,要求每秒闪亮一次。 4、东西方向、南北方向车道除了有红、黄、绿灯指示外,每一种灯亮的时间都用显示器进行显 示(采用计时的方法)。 5、同步设置人行横道红、绿灯指示。 三.系统框图及说明: 1、分析系统的逻辑功能,画出其框图 交通灯控制系统的原理框图如图1-1所示。它主要由计时电路、主控电路、信号灯转换器和脉冲信号发生器组成。脉冲信号发生器用的是555定时器;计时计数器是由74LS160来完成、输出四组驱动信号T0和T3经信号灯转换器(4片7448)来控制信号灯工作,主控电路是系统的主要部分,由它控制信号灯转换器的工作。 (图1-1) 2、信号灯转换器

两方向车道的交通灯的运行状态共有4种(因人行道的交通灯和车道的交通灯是同步的,所以不考虑),如图1-2 所示 信号灯状态与车道运行状态如下: S0:支干道车道的绿灯亮,车道通行,人行道禁止通行;主干道 车道的红灯亮,车道禁止通行,人行道通行 S1:支干道车道的黄灯亮,车道缓行,人行道禁止通行;主干道 车道的红灯亮,车道禁止通行,人行道通行 S2:支干道车道的红灯亮,车道禁止通行,人行道通行;主干道 车道的绿灯亮,车道通行,人行道禁止通行 S3:支干道车道的红灯亮,车道禁止通行,人行道通行;主干道 车道的黄灯亮,车道缓行,人行道禁止通行 G1=1:主干道绿灯亮

相关文档