文档库 最新最全的文档下载
当前位置:文档库 › 【数电】组合逻辑电路习题(含答案)

【数电】组合逻辑电路习题(含答案)

【数电】组合逻辑电路习题(含答案)
【数电】组合逻辑电路习题(含答案)

《组合逻辑电路》练习题及答案

[3.1] 分析图P3.1电路的逻辑功能,写出Y 1、、Y 2的逻辑函数式,列出真值表,指出电路完成什么逻辑功能。

[解]

BC

AC AB Y BC AC AB C B A ABC Y ++=+++++=21)(

B 、

C 为加数、被加数和低位的进位,Y 1为“和”,Y 2为“进位”。

[3.2] 图P3.2是对十进制数9求补的集成电路CC14561的逻辑图,写出当COMP=1、Z=0、和COMP=0、Z=0时,Y 1~Y 4的逻辑式,列出真值表。

[解]

(1)COMP=1、Z=0时,TG 1、TG 3、TG 5导通,TG 2、TG 4、TG 6关断。

3232211 , ,A A Y A Y A Y ⊕===, 4324A A A Y ++=

(2)COMP=0、Z=0时,

Y 1=A 1, Y 2=A 2, Y 3=A 3, Y 4=A 4。

COMP =0、Z=0的真值表从略。

[题3.3] 用与非门设计四变量的

多数表决电路。当输入变量A 、B 、C 、D 有3个或3个以上为1时输出为1,输入为其他状态时输出为0。

[解] 题3.3的真值表如表A3.3所示,逻辑图如图A3.3所示。

ABCD D ABC D C AB CD B A BCD A Y ++++=

BCD ACD ABC ABC +++=

B C D A C D A B D A B C ???=

[3.4] 有一水箱由大、小两台泵M L 和M S 供水,如图P3.4所示。水箱中设置了3个水位检测元件A 、B 、C 。水面低于检测元件时,检测元件给出高电平;水面高于检测元件时,检测元件给出低电平。现要求当水位超过C 点时水泵停止工作;水位低于C 点而高于B 点时M S 单独工作;水位低于B 点而高于A 点时M L 单独工作;水位低于A 点时M L 和M S 同时工作。试用门电路设计一个控制两台水泵的逻辑电路,要求电路尽量简单。

[解] 题3.4的真值表如表A3.4所示。

真值表中的C B A 、C B A 、C B A 、C AB 为约束项,利用卡诺图图A3.4(a)化简后得到:

C B A M S +=, B M L =(M S 、M L

的1状态表示工作,0状态表示停止)。 逻辑图如图A3.4(b)。

[3.5] 设计一个代码转换电路,输入为4位二进制代码,输出为4位循环码。可以采用各种逻辑功能的门电路来实现。

[解] 题3.5的真值表如表A3.5所示。

二进制代码 循环码 二进制代码 循环码 A 3 A 2 A 1 A 0 Y 3 Y 2 Y 1 Y 0 A 3 A 2 A 1 A 0 Y 3 Y 2 Y 1 Y 0 0 0 0 0 0 0 0 0 1 0 0 0 1 1 0 0 0 0 0 1 0 0 0 0 1 0 0 1 1 1 0 1 0 0 1 0 0 0 1 1 1 0 1 0 1 1 1 1 0 0 1 1 0 0 1 0 1 0 1 1 1 1 1 0 0 1 0 0 0 1 1 0 1 1 0 0 1 0 1 0 0 1 0 1 0 1 1 1 1 1 0 1 1 0 1 1 0 1 1 0 0 1 0 1 1 1 1 0 1 0 0 1 0

1

1

1

1

1

1

1

1

1

由真值表得到 33A Y =, 232A A Y ⊕=, 121A A Y ⊕=, 010A A Y ⊕= 逻辑图如图A3.5所示。

[3.6] 试画出用4片8线-3线优先编码器74LS148组成32线-5线优先编码器的逻辑图。74LS148的逻辑图见图3.3.3。允许附加必要的门电路。

[解] 以310~I I 表示32个低电平有效的编码输入信号,以D 4D 3D 2D 1D 0表示输出编码,可列出D 4、D 3与Y EX4Y EX3Y EX2Y EX1关系的真值表。如表A3.6所示。

工作的芯片号 Y EX4 Y EX3 Y EX2 Y EX1

D 4 D 3 (4)

1 0 0 0 1 1 (3) 0 1 0 0 1 0 (2) 0 0 1 0 0 1 (1)

1

从真值表得到34344EX EX EX EX Y Y Y Y D ?=+= 24243EX EX EX EX Y Y Y Y D ?=+=

逻辑电路图略。

[3.7] 某医院有一、二、三、四号病室4间,每室设有呼叫按钮,同时在护士值班室内对应地装有一号、二号、三号、四号4个指示灯。现要求当一号病室的铵钮按下时,无论其他病室内的按钮是否按下,只有一号灯亮。当一号病室的按钮没有按下,而二号病室的按钮按下时,无论三、四号病室的按钮是否按下,只有二号灯亮。当一、二号病室的按钮都未按下而三号病室的按钮按下时,无论四号病室的铵钮是否按下,只有三号灯亮。只有在一、二、三号病室的按钮均未按下,而四号病室的按钮按下时,四号灯才亮。试分别用门电路和

优先编码器74LS148及门电路设计满足上述控制要求的逻辑电路,给出控制四个指示灯状态的高、低电平信号。74LS148的逻辑图如图P3.7所示,其功能表如表P3.7所示。

表 P3.7 74LS148的功能表

[解]

设一、二、三、四号病室分别为输入变量A 、B 、C 、D ,当其值为1时,表示呼叫按钮按下,为0时表示没有按呼叫铵钮;设一、二、三、四号病室呼叫指示灯分别为L 1、L 2、L 3、L 4,其值为1指示灯亮,否则灯不亮,列出真值表,如表A3.7示。

表A3.7

则 L 1=A ,L 2=B A ?,L 3=C B A ??,

L 4=D C B A ???

由上式可得出用门电路实现题目要求的电路如图A3.7(a)所示。将该真值表与表P3.7对照可知,在74LS148中 47~I I 应接1,3I 接A ,2I 接B ,1I 接C ,0I 接D 。 L 1=S Y Y Y 01, L 2=S Y Y Y 01, L 3=S Y Y Y 01, L 4=S Y Y Y 01 所以,用74LS148实现的电路如图A3.7(b)所示。

[3.8] 写出图P3.8中Z 1、Z 2、Z 3的逻辑函数式,并化简为最简的与-或表达式。74LS42为拒伪的二-十进制译码器。当输入信号A 3A 2A 1A 0为0000~1001这10种状态时,输出端从

90Y Y 到依次给出低电平,当输入信号为伪码时,输出全为1。

[解]

NOP M P O N M P O N M Y Y Y Z ++==7411

P O N M P O N M P O N M Y Y Y Z ++==8522

P O N M P O N M P O N M Y Y Y Z ++==9633

利用伪码用卡诺图化简,得:

NOP P O N P O N M Z +++=1 P M P O N P O N Z ++=2

MP P NO OP N Z ++=3

约束条件: 0=+MO MN

[3.9] 画出用两片4线-16线译码器74LS154组成5线-32线译码的接线图。图P3.9是74LS154的逻辑框图,图中B A S S 、是两个控制端(亦称片选端)译码器工作时应使

B A S S 、同时为低电平,输入信号A 3、A 2、A 1、A 0为0000~1111这16种状态时,输出端从150Y Y 到依次给出低电平输出信号。

[解] 电路如图A3.9所示。当A 4=0时,片(1)工作,150Y Y ???对应输出低电平;当A 4=1时,片(2)工作,3116Y Y ???对应输出低电平。

[3.10] 试画出用3线-8线译码器74LS138和门电路产生多输出逻辑函数的逻辑图(74LS138逻辑图如图P3.10所示,功能表如表P3.10所示)。

???

??+=++==C AB C B Y BC C B A C B A Y AC Y 321

[解] 令A=A 2,B=A 1 ,C=A O 。将Y 1Y 2Y 3写成最小项之和形式,并变换成与非-与非形

式。

∑===751Y Y )7 5i (mi Y

74312Y Y Y Y )7,4,3,1j (mj Y ===∑ ∑===6403Y Y Y 0,4,6)mk(k Y

用外加与非门实现之,如图A3.10所示。

[3.11] 画出用4线-16线译码器74LS154(参见题3.9)和门电路产生如下多输出逻辑函数的逻辑图。

D C B A D C B A D C B A D C B A Y 1+++= D ABC D C AB CD B A BCD A Y +++=2 B A Y =3

[解]

842184211Y Y Y Y m m m m Y =+++=

141311714131172Y Y Y Y m m m m Y =+++=

765476543Y Y Y Y m m m m Y =+++=

电路图如图A3.11所示。

[3.12] 用3线-8线译码器74LS138和门电路设计1位二进制全减器电路。输入为被减数、减数和来自低位的借位;输出为两数之差及向高位的借位信号。

[解] 设a i 为被减数,b i 为减数,c i-1为来自低位的借位,

首先列出全减器真值表,然后将Di ,Ci 表达式写成非-与非形式。最后外加与非门实现之。由全减器真值表知:

1111----+++=i i i i i i i i i i i i i c b a c b a c b a c b a D

7421m m m m +++=7421m m m m =7421Y Y Y Y =

全减器真值表

同理可知 7321Y Y Y Y Ci =

令a i =A 2,b i =A 1,c i-1=A 0。电路如图A3.12所示。

[3.13] 试用两片双4选1数据选择器74LS153和3线-8线译码器74LS138接成16选1数据选择器。74LS153的逻辑图见图3.3.20,74LS138的逻辑图见图3.3.8。

[解] 见图A3.13。

[3.14] 分析图P3.14电路,写出输出Z 的逻辑函数式,并化简。CC4512为8选1数据选择器,它的逻辑功能表如表P3.14所示。

表P3.14 CC4512的功能表

[解] 7711m D m D m D Z O O ++=

A C

B D A B D

C A B DC A B C A B C

D A B C D +++++=

=A B D A B C B D ++

[3.15] 图P3.15是用两个4选1数据选择器组成的逻辑电路,试写出输出Z 与输入M 、

N 、P 、Q 之间的逻辑函数。已知数据选择器的逻辑函数式为

[]

S A A D A A D A A D A A D Y ?+++=013012011010

[解] ()()

PQ N Q P N P MQ N Q M N P NMQ Q M N Z +=+++=

[3.16] 试用4选1数据选择器74LS153产生逻辑函数BC C A C B A Y ++= [解] 4选1数据选择器表达式为: 301201101010D A A D A A D A A D A A Y +++=

而所需的函数为

ABC BC A C B A C B A C B A BC C A C B A Y ++++=++=

C AB C AB B A C B A ?+?+?+?=1

与4选1数据选择器逻辑表达式比较,则令 01A B A A ==,,C D C D D C D ====32101,,,

接线图如图A3.16所示。

[3.17] 用8选1数据选择器CC4512(参见题3.14)产生逻辑函数

D C B BC CD B A D C A Y +++=

[解] 令A=A 2,B=A 1,C=A 0,D=D 0~D 7,将Y 写成最小项之和的形式,找出与8选1数据选择器在逻辑上的对应关系,确定D 0~D 7所接信号。

D ABC BCD A D BC A CD B A D C AB D C B A Y +++++?=

D

C B A

D C AB ABCD +++111?+?+??+?+?+?=ABC C AB D C B A BC A D C B A D C B A

则 ,

,D D D D D ====4150017632====D D D D D , 如图A3.17所示。

[3.18] 用8选1数据选择器CC4512(参见题3.14)产生逻辑函数 C B A C B A AC Y ?++=

[解] 将Y 变换成最小项之和形式。

1 111 ?+?+?+?=++=C B A C B A ABC C B A C B A C B A AC Y

令A=A 2,B=A 1,C=A 0,

凡Y 中含有的最小项,其对应的Di 接1,否则接0。如图A3.18所示。

[3.19] 设计用3个开关控制一个电灯的逻辑电路,要求改变任何一个开关的状态都控制电灯由亮变灭或由灭变亮。要求用数据选择器来实现。

[解] 以A 、B 、C 表示三个双位开关,并用0和1分别表示开关的两个状态。以Y 表示灯的状态,用1表示亮,用0表示灭。设ABC =000时Y =0,从这个状态开始,单独改变任何一个开关的状态Y 的状态要变化。据此列出Y 与A 、B 、C 之间逻辑关系的真值表。如表A3.19所示。

A B C Y A B C Y

0 0 0 0 0 1 1 0 0 0 1 1 1 0 1 0 0 1 0 1 1 1 0 0 1

1

1

1

1

1

从真值表写出逻辑式 A B C C B A C B A C B A Y +++=

取4选1数据选择器,令A 1=A ,A 0=B ,D 0=D 3=C ,D 1=D 2=C ,即得图A3.19。

[3.20] 人的血型有A 、B 、AB 、O 四种。输血时输血者的血型与受血者血型必须符合图P3.20中用箭头指示的授受关系。试用数据选择器设计一个逻辑电路,判断输血者与受血者的血型是否符合上述规定。(提示:可以用两个逻辑变量的4种取值表示输血者的血型,

用另外两个逻辑变量的4种取值表示受血者的血型。)

[解] 以MN的4种状态组合表示输血者的4种血型,并以PQ的4种状态组合表示

受血者的4种血型,如图A3.20(a)所示。用Z表示判断结果,Z=0表示符合图A3.20(a)要求,

Z=1表示不符合要求。

据此可列出表示Z与M、N、P、Q之间逻辑关系的真值表。从真值表写出逻辑式为Q

M

P

M

M

PQ

N

+

+

=

+

N

+

+

Z+

N

P

N

M

M

N

PQ

P

Q

Q

NPQ

P

M

Q

M

N

Q

Q

M

NP

P

N

P

M

N

M

P

Q

N

M

P

M

N

M

Q

P

N

+

?

+

Q

+

?

+

1?

?

?

=MNP

+

MN

?

P

?

+

?

+

其真值表如表A3.20所示。

令A2=M,A1=N,A0=P,并使D0=D1=D3=D5=Q,D2=Q,D4=1,D6=D7=0,则得到图A3.20(b)

电路。

[3.21] 用8选数据选择器CC4512(参见题3.14)设计一个组合逻辑电路。该电路有

3个输入逻辑变量A 、B 、C 和1个工作状态控制变量M 。当M =0时电路实现“意见一致”功能(A 、B 、C 状态一致时输出为1,否则输出为0),而M =1时电路实现“多数表决”功能,即输出与A 、B 、C 中多数的状态一致。

[解] 根据题意可列出真值表,如表A3.21所示。以Z 表示输出。

M ABC C AB C B A BC A M ABC C B A Y )() (+++++= 0 00 ?+?+?+?+?=C B A M BC A C B A C B A M C B A

1?+?+?+ABC M C AB M C B A

用CC4512接成的电路如图A3.21。

其中A 2=A ,A 1=B ,A 0=C ,D 0=M ,D 1=D 2=D 4=0,D 3=D 5=D 6=M ,D 7=1。

[3.22] 用8选1数据选择器设计一个函数发生器电路,它的功能表如表P3.22所示。

[解] 由功能表写出逻辑式

A

S S B A B A S S B A S S AB S S Y 01010101)()(+++++=

B A S S A S S B A S S B A S S A S S ?+?+?+?+?=010*********

01010101?+?+?+A S S A S S B A S S

令A 2=S 1,A 1=S 0,A 0=A ,D 0=D 7=0,D 1=D 2=D 4=B ,D 3=D 6=1,D 5=B ,即得到图A3.22电路。

[3.23]试用4位并进行加法器74LS283设计一个加/减运算电器。当控制信号M=0时它将两个输入的4位二进制数相加,而M=1时它将两个输入的4位二进制数相减。允许附加必要的电路。

[解]电路如图A3.23。

M=0时,S3S2S1S0=P3P2P1P0+Q3Q2Q1Q0,

M=1时,S3S2S1S0= P3P2P1P0-Q3Q2Q1Q0= P3P2P1P0+[ Q3Q2Q1Q0]补

[3.24]能否用一片4位并行加法器74LS283将余3代码转换成8421的二十进制代码?如果可能,应当如何连线?

[解]由第一章的表1.1.1可知,从余3码中减去3(0011)即可能得到8421码。减3可通过加它的补码实现。若输入的余3码为D3D2D1D0,输出的8421码为Y3Y2Y1Y0,则有Y3Y2Y1Y0= D3D2D1D0+[0011]补= D3D2D1D0+1101,于是得到图A3.24电路。

[3.25]试利用两片4位二制并行加法器74LS283和必要的门电路组成1位二—十进制加法器电路。(提示:根据BCD码中8421码的加法运算规则,当两数之和小于、等于9(1001)时,相加的结果和按二进制数相加所得到的结果一样。当两数之和大于9(即等于1010~1111)时,则应在按二进制数相加的结果上加6(0110),这样就可能给出进位信号,同时得到一个小于9的和。)

[解]由表可见,若将两个8421的二—十进制数A3A2A1A0和B3B2B1B0用二进制加法器相加,则当相加结果≤9(1001)时,得到的和S3S2S1S0就是所求的二—十进制和。而当相加结果≥10(1010)以后,必须将这个结果在另一个二进制加法器加6(0110)进行修正,才能得到二—十进制数的和及相应的进位输出。由表可知,产生进位输出C’0的条件为

C’0=C0+S3S2+S3S1

产生C’0的同时,应该在S3S2S1S0上加6(0110),得到的S’3S’2S’1S’0和C’0就是修正后的结果。故得到图A3.25电路。

[3.26]若使用4位数值比较器CC14585(见图3.3.32)组成10位数值比较器,需要用几片?各片之间的应如何连接?

[解]

需要用三片。根据CC14585的功能表,各片之间的连接方法如图A3.26所示。

[3.27]试用两个4位数值比较器组成三个数的判断电路。要求能够判别三个4位二进制数A(a3a2a1a0)、B(b3b2b1b0)、C(c3c2c1c0)是否相等、A是否最大、A是否最小,并分别给出“三个数相等”、“A最大”、“A最小”的输出信号。可以附加必要的门电路。

[解] 如图A3.27所示。

[3.28] 若将二一十进制编码中的8421码、余3码、余3循环码、2421码和5211码分别加到二—十进制译码器74LS42(见图3.3.10)的输入端,并按表1.1.1的排列顺序依次变化时,输出端是否都会产生尖峰脉冲?试简述理由。

[解] 在这几种二—十进制编码中,只有将余3循环码加到74LS42的输入端、并令其按表1.1.1的状态排列顺序变化时,不会在输出端产生尖峰脉冲。因为每次输入状态变化时,任何一个与门的4个输入当中仅可能有一个改变状态,所以不存在竞争—冒险现象。

[3.29] 试分析图P3.29 电路当中A 、B 、C 、D 单独一个改变状态时是否存在竞争-冒险现象?如果存在竞争-冒险现象,那么都发生在其他变量为何种取值的情况下?

[解] 写出Y 的逻辑表达式:

D C C B D B A CD A D C C B D B A CD A Y +++=?=

根据“只要输出端的逻辑函数在一定条件下能化简成A A +或A A ,则必然会出现竞争冒险现象”的方法可知:

当B=0,C=D=1,A 发生变化时; 当A=0,B=D=1,C 发生变化时; 当A=D=1,C=0,B 发生变化时; 当A=0,B=X ,C=1,D 发生变化时; 当B=1,D=0,A=X ,C 发生变化时; 当A=1,B=0,C=1,D 发生变化时; 电路均会产生竞争冒险现象。

《数字电路》期末模拟试题及答案

- 1 - 一、填空题 1. PN 结具有单向导电性。正向偏置时,多子以扩散运动为主,形成正向电流;反向 偏置时,少子漂移运动,形成反向饱电流。 2. 双极型晶体三极管输出特性曲线的三个工作区是放大区、截止区、饱和区。 3. 已知三态与非门输出表达式C AB F ?=,则该三态门当控制信号C 为高电平时, 输出为高阻态。 4. 十进制数211转换成二进制数是(11010011)2;十六进制数是(D3)16。 5. 将若干片中规模集成电路计数器串联后,总的计数容量为每片计数容量的乘积。 6. 若用触发器组成某十一进制加法计数器,需要四个触发器,有五个无效状态。 7. 同步RS 触发器的特性方程为n 1n Q R S Q +=+;约束方程为RS=0 。 8. 下图所示电路中,Y 1 =B A Y 1=;Y 2 = ;Y 3 =AB Y 3= 二、选择题 1. 下列函数中,是最小项表达式形式的是____ c _____。 A. Y=A+BC B. Y=ABC+ACD C. C B A C B A Y +?= D. BC A C B A Y +?= 2. 要实现n 1n Q Q =+,JK 触发器的J 、K 取值应为__d ___。 A . J=0,K=0 B. J=0,K=1 C. J=1,K=0 D. J=1,K=1 3.数值[375]10与下列哪个数相等_b __。 A . [111011101]2 B. [567]8 C. [11101110]BCD D. [1F5]16 4.属于组合逻辑电路的是_____b ______ A . 触发器 B. 全加器 C. 移位寄存器 D. 计数器 5.M 进制计数器状态转换的特点是:设定初态后,每来_c __个计数脉冲CP ,计数器重 新 B 2 B V CC Y 1

3组合逻辑电路习题解答

3组合逻辑电路习题解答 33 自我检测题 1.组合逻辑电路任何时刻的输出信号,与该时刻的输入信号 有关 ,与以前的输入信号 无关 。 2.在组合逻辑电路中,当输入信号改变状态时,输出端可能出现瞬间干扰窄脉冲的现象称为 竞争冒险 。 3.8线—3线优先编码器74LS148的优先编码顺序是7I 、6I 、5I 、…、0I ,输出为 2Y 1Y 0Y 。输入输出均为低电平有效。当输入7I 6I 5I …0I 为11010101时,输出2Y 1Y 0Y 为 010 。 4.3线—8线译码器74HC138处于译码状态时,当输入A 2A 1A 0=001时,输出07Y ~Y = 11111101 。 5.实现将公共数据上的数字信号按要求分配到不同电路中去的电路叫 数据分配器 。 6.根据需要选择一路信号送到公共数据线上的电路叫 数据选择器 。 7.一位数值比较器,输入信号为两个要比较的一位二进制数,用A 、B 表示,输出信号为比较结果:Y (A >B ) 、Y (A =B )和Y (A <B ),则Y (A >B )的逻辑表达式为B A 。 8.能完成两个一位二进制数相加,并考虑到低位进位的器件称为 全加器 。 9.多位加法器采用超前进位的目的是简化电路结构 × 。 (√,× ) 10.组合逻辑电路中的冒险是由于 引起的。 A .电路未达到最简 B .电路有多个输出 C .电路中的时延 D .逻辑门类型不同 11.用取样法消除两级与非门电路中可能出现的冒险,以下说法哪一种是正确并优先考虑的? A .在输出级加正取样脉冲 B .在输入级加正取样脉冲 C .在输出级加负取样脉冲 D .在输入级加负取样脉冲 12.当二输入与非门输入为 变化时,输出可能有竞争冒险。 A .01→10 B .00→10 C .10→11 D .11→01 13.译码器74HC138的使能端321E E E 取值为 时,处于允许译码状态。 A .011 B .100 C .101 D .010 14.数据分配器和 有着相同的基本电路结构形式。 A .加法器 B .编码器 C .数据选择器 D .译码器 15.在二进制译码器中,若输入有4位代码,则输出有 个信号。 A .2 B .4 C .8 D .16 16.比较两位二进制数A=A 1A 0和B=B 1B 0,当A >B 时输出F =1,则F 表达式是 。

组合逻辑电路习题解答

自我检测题 1.组合逻辑电路任何时刻的输出信号,与该时刻的输入信号 有关 ,与以前的输入信号 无关 。 2.在组合逻辑电路中,当输入信号改变状态时,输出端可能出现瞬间干扰窄脉冲的现象称为 竞争冒险 。 3.8线—3线优先编码器74LS148的优先编码顺序是7I 、6I 、5I 、…、0I ,输出为 2Y 1Y 0Y 。输入输出均为低电平有效。当输入7I 6I 5I …0I 为时,输出2Y 1Y 0Y 为 010 。 4.3线—8线译码器74HC138处于译码状态时,当输入A 2A 1A 0=001时,输出07Y ~Y = 。 5.实现将公共数据上的数字信号按要求分配到不同电路中去的电路叫 数据分配器 。 6.根据需要选择一路信号送到公共数据线上的电路叫 数据选择器 。 7.一位数值比较器,输入信号为两个要比较的一位二进制数,用A 、B 表示,输出信号为比较结果:Y (A >B ) 、Y (A =B )和Y (A <B ),则Y (A >B )的逻辑表达式为B A 。 8.能完成两个一位二进制数相加,并考虑到低位进位的器件称为 全加器 。 9.多位加法器采用超前进位的目的是简化电路结构 × 。 (√,× ) 10.组合逻辑电路中的冒险是由于 引起的。 A .电路未达到最简 B .电路有多个输出 C .电路中的时延 D .逻辑门类型不同 11.用取样法消除两级与非门电路中可能出现的冒险,以下说法哪一种是正确并优先考虑的 A .在输出级加正取样脉冲 B .在输入级加正取样脉冲 C .在输出级加负取样脉冲 D .在输入级加负取样脉冲 12.当二输入与非门输入为 变化时,输出可能有竞争冒险。 A .01→10 B .00→10 C .10→11 D .11→01 13.译码器74HC138的使能端321E E E 取值为 时,处于允许译码状态。 A .011 B .100 C .101 D .010 14.数据分配器和 有着相同的基本电路结构形式。 A .加法器 B .编码器 C .数据选择器 D .译码器 15.在二进制译码器中,若输入有4位代码,则输出有 个信号。 A .2 B .4 C .8 D .16 16.比较两位二进制数A=A 1A 0和B=B 1B 0,当A >B 时输出F =1,则F 表达式是 。 A . B A F = B .0101B B A A F ++= .0011B A B A F ++=

第4章组合逻辑电路课后答案

第4 章 [题 4.1].分析图P4.1电路的逻辑功能,写出输出的逻辑函数式,列出真值表,说明电路逻辑功能的特点。 P3AP1P5P2P3P4 A P 4CP2 P3Y P5P6 B P1 AB Y P2BP1 C P6CP4 图P4.1 图P4.2 解:( 1)逻辑表达式 Y P5P6P2 P3 P4 CP4P2 P3P4CP4 P2 P3 C CP2 P3P2 P3 C C P2P3 PPC23P PC 2 3 P2 P3BP1 AP1 B AB AAB AB AB Y P2P3C P2 P3C AB AB C AB ABC AB ABC AB C ABC AB ABC AB ABC C ( 2)真值表 A B C Y A B C Y 00011000 00101011 01001101 01111110 (3)功能 从真值表看出,这是一个三变量的奇偶检测电路,当输入变量中有偶数个1 和全为0 时,Y=1,否则 Y=0 。 [题 4.3] 分析图P4.3电路的逻辑功能,写出Y1、、Y2的逻辑函数式,列出真值表,指出 电路完成什么逻辑功能。

A B Y 2 C Y 1 图 P4.3 [解 ] 解: Y2AB BC AC Y1 ABC ( A B ) C Y2 ABC ( A B ) BC AC C AB ABC ABC ) ABC ABC 真值表: A B C Y1 Y2 00000 00110 01010 01101 10010 10101 11001 11111 由真值表可知:电路构成全加器,输入 A 、B 、C 为加数、被加数和低位的进位,Y 1为“和”, Y 2为“进位”。 [题 4.4]图 P4.4 是对十进制数9 求补的集成电路CC14561 的逻辑图,写出当COMP=1 、Z=0 、和 COMP=0 、 Z=0 时, Y 1~ Y 4的逻辑式,列出真值表。

组合逻辑电路习题答案

第3章 组合逻辑电路 试分析图所示组合逻辑电路的逻辑功能,写出逻辑函数式,列出真值表,说明电路完成的逻辑功能。 (b) (c) (a)A B C D L =1 =1 =1 C 2 L 1L 2L 3 图 题图 解:由逻辑电路图写出逻辑函数表达式: 图a :D C B A L ⊕⊕⊕= 图b :)()(21B A C AB B A C AB L C B A L ⊕+=⊕=⊕⊕= 图c :B A B A L B A A B B A B A L B A B A L =+=+=+++==+=321 由逻辑函数表达式列写真值表: A B C D L 0 0 0 0 00 0 0 1 10 0 1 0 10 0 1 1 00 1 0 0 10 1 0 1 00 1 1 0 00 1 1 1 11 0 0 0 11 0 0 1 01 0 1 0 01 0 1 1 11 1 0 0 01 1 0 1 11 1 1 0 11 1 1 1 0 A B C L 1L 2 0 0 0 0 00 0 1 1 00 1 0 1 00 1 1 0 11 0 0 1 01 0 1 0 11 1 0 0 11 1 1 1 1 A B L 1L 20 0 0 1 0L 3 0 1 0 0 11 0 1 0 01 1 0 1 0 由真值表可知:图a 为判奇电路,输入奇数个1时输出为1;图b 为全加器L 1为和,L 2为进位;图c 为比较器L 1为1表示A>B ,L 2为1表示A=B, L 3为1表示A

组合逻辑电路练习题和答案

第2章习题 一、单选题 1.若在编码器中有50个编码对象,则输出二进制代码位数至少需要( B )位。 A)5 B)6 C)10 D)50 2.一个16选1的数据选择器,其选择控制(地址)输入端有( C )个,数据输入端有( D )个,输出端有( A )个。 A)1 B)2 C)4 D)16 3.一个8选1的数据选择器,当选择控制端S2S1S0的值分别为101时,输出端输出( D )的值。 A)1 B)0 C)D4D)D5 4.一个译码器若有100个译码输出端,则译码输入端至少有( C )个。 A)5 B)6 C)7 D)8 5.能实现并-串转换的是( C )。 A)数值比较器B)译码器C)数据选择器D)数据分配器 6.能实现1位二进制带进位加法运算的是( B )。 A)半加器B)全加器C)加法器D)运算器 7.欲设计一个3位无符号数乘法器(即3×3),需要()位输入及( D )位输出信号。A)3,6 B)6,3 C)3,3 D)6,6 8.欲设计一个8位数值比较器,需要()位数据输入及( B )位输出信号。 A)8,3 B)16,3 C)8,8 D)16,16 9. 4位输入的二进制译码器,其输出应有( A )位。 A)16 B)8 C)4 D)1 二、判断题 1. 在二——十进制译码器中,未使用的输入编码应做约束项处理。() 2. 编码器在任何时刻只能对一个输入信号进行编码。()

3. 优先编码器的输入信号是相互排斥的,不容许多个编码信号同时有效。( ) 4. 编码和译码是互逆的过程。( ) 5. 共阴发光二极管数码显示器需选用有效输出为高电平的七段显示译码器来驱动。( ) 6. 3位二进制编码器是3位输入、8位输出。( ) 7. 组合逻辑电路的特点是:任何时刻电路的稳定输出,仅仅取决于该时刻各个输入变量的取值,与电路原来的状态无关。( ) 8. 半加器与全加器的区别在于半加器无进位输出,而全加器有进位输出。( ) 9. 串行进位加法器的优点是电路简单、连接方便,而且运算速度快。( ) 10. 二进制译码器的每一个输出信号就是输入变量的一个最小项。( ) 11. 竞争冒险是指组合电路中,当输入信号改变时,输出端可能出现的虚假信号。( ) 三、综合题 1.如图所示逻辑电路是一个什么电路,当A 3~A 0输入0110,B 3~B 0输入1011,Cin 输入1时,Cout 及S 3~S 0分别输出什么 +A 3B 3C in 3C out +++A 2B 2A 1B 1A 0B 0210 答:图中所示电路是4位串行进位全加器电路 C out =1,S 3S 2S 1S 0=0001 2.使用门电路设计一个4选1的数据选择 器,画出逻辑图。 解:4选1数据选择器有4个数据输入 端(D 0D 1D 2D 3),2个选择输入端(S 1S 0),1个 数据输出端(Y )。真值表如下: D S 1 S 0 Y

数电期末试卷

天津理工大学考试试卷 2013~2014学年度第一学期 《高频电子线路》 期末考试 答案 课程代码: 0562010 试卷编号: 5-A 命题日期: 2013 年 11 月 5 日 答题时限: 120 分钟 考试形式:闭卷笔试 得分统计表: 大题号 总分 一 二 三 四 五 一、单项选择题(从4个备选答案中选择最适合的一项,每小题1分,共10分) 得分 1. 下图所示抽头式并联谐振回路中,接入系数为p ,则把电容C1折合到LC 回路两端后的值为 A 。 A 12C p B 11 2C p C 1pC D 11C p 2. 某丙类高频功率放大器原工作于在欠压状态,现欲调整使它工作在临界状态,可采用办法 B 。 A CC V 增加、 bm V 减小、 p R 减小

B C C V 减小、bm V 增加、p R 增加 C CC V 减小、 bm V 减小、p R 减小 D CC V 增加、 bm V 增加、 p R 增加 3. 给一个振荡器附加AFC 系统,是为了 D 。 A 尽量保持输出电平恒定; B 使振荡器的输出与参考信号完全同步(同频同相); C 使振荡器输出的频率与参考信号频率相等,但初相位相对于参考信号初相位有一定的剩余误差; D 使振荡频率比不加时稳定。 4. 为了保证调幅波的包络能够较好地反映调制信号, C 。 A 集电极被调功率放大器和基极被调功率放大器都应工作在欠压状态 B 它们都应工作在过压状态 C 集电极被调功率放大器应工作在过压状态,另一个则应工作在欠压状态 D 基极被调功率放大器应工作在过压状态,另一个则应工作在欠压状态 5. 下面属于非线性元件特性的是 C 。 A 只有直流电阻,且阻值随静态工作点的改变而改变 B 只有动态电阻,且阻值随静态工作点的改变而改变 C 具有频率变换的作用 D 满足叠加原理 6. 某一调谐放大器,假设输入信号的频率为2MHz 、5MHz 、10MHz ,12MHz ,当谐振回路的谐振频率为10MHz 时,频率为 C 的信号在输出信号中最强。 A 2MHz B 5MHz C 10MHz D 12MHz 7. 若调制信号的频率范围为n F F -1时,用来进行标准调幅,则形成已调波的带宽为 A 。 A n F 2 B ()12F F n - C 12F D ()n f F m 12+ 8. 多级单调谐回路谐振放大器与单级单调谐回路放大器比较,叙述正确的是 C 。

组合逻辑电路课后答案

第4章 [题].分析图电路的逻辑功能,写出输出的逻辑函数式,列出真值表,说明电路逻辑功能的特点。 图P4.1 B Y AP 56 P P = 图 解:(1)逻辑表达式 ()()() 5623442344 232323232323 Y P P P P P CP P P P CP P P C CP P P P C C P P P P C P PC ===+=+=++=+ 2311P P BP AP BABAAB AB AB ===+ ()()()2323Y P P C P P C AB AB C AB ABC AB AB C AB AB C ABC ABC ABC ABC =+=+++=+++=+++ (2)真值表 (3)功能 从真值表看出,这是一个三变量的奇偶检测电路,当输入变量中有偶数个1和全为0时,Y =1,否则Y=0。 [题] 分析图电路的逻辑功能,写出Y 1、、Y 2的逻辑函数式,列出真值表,指出电路完成什么逻辑功能。

图P4.3 B 1 Y 2 [解] 解: 2Y AB BC AC =++ 12 Y ABC A B C Y ABC A B C AB BC AC ABC ABC ABC ABC =+++=+++++=+++()()) 由真值表可知:、C 为加数、被加数和低位的进位,Y 1为“和”,Y 2为“进位”。 [题] 图是对十进制数9求补的集成电路CC14561的逻辑图,写出当COMP=1、Z=0、和COMP=0、Z=0时,Y 1~Y 4的逻辑式,列出真值表。

图P4.4 [解] (1)COMP=1、Z=0时,TG 1、TG 3、TG 5导通,TG 2、TG 4、TG 6关断。 3232211 , ,A A Y A Y A Y ⊕===, 4324A A A Y ++= (2)COMP=0、Z=0时, Y 1=A 1, Y 2=A 2, Y 3=A 3, Y 4=A 4。 COMP=0、Z=0的真值表从略。 [题] 用与非门设计四变量的多数表决电路。当输入变量A 、B 、C 、D 有3个或3个以上为1时输出为1,输入为其他状态时输出为0。 [解] 题的真值表如表所示,逻辑图如图(b)所示。

组合逻辑电路习题解答

( 有些题答案错了 )自我检测题 1.组合逻辑电路任何时刻的输出信号,与该时刻的输入信号 有关 ,与以前的输入信号 无关 。 2.在组合逻辑电路中,当输入信号改变状态时,输出端可能出现瞬间干扰窄脉冲的现象称为 竞争冒险 。 3.8线—3线优先编码器74LS148的优先编码顺序是7I 、6I 、5I 、…、0I ,输出为2Y 1Y 0Y 。输入输出均为低电平有效。当输入7I 6I 5I …0I 为时,输出2Y 1Y 0Y 为 010 。 4.3线—8线译码器74HC138处于译码状态时,当输入A 2A 1A 0=001时,输出0 7 Y ~Y = 。 5.实现将公共数据上的数字信号按要求分配到不同电路中去的电路叫 数据分配器 。 6.根据需要选择一路信号送到公共数据线上的电路叫 数据选择器 。 7.一位数值比较器,输入信号为两个要比较的一位二进制数,用A 、

B 表示,输出信号为比较结果:Y (A >B ) 、Y (A =B )和Y (A <B ),则Y (A >B )的逻辑表达 式为B A 。 8.能完成两个一位二进制数相加,并考虑到低位进位的器件称为 全加器 。 9.多位加法器采用超前进位的目的是简化电路结构 × 。 (√,× ) 10.组合逻辑电路中的冒险是由于 引起的。 A .电路未达到最简 B .电路有多个输出 C .电路中的时延 D .逻辑门类型不同 11.用取样法消除两级与非门电路中可能出现的冒险,以下说法哪一种是正确并优先考虑的 A .在输出级加正取样脉冲 B .在输入级加正取样脉冲 C .在输出级加负取样脉冲 D .在输入级加负取样脉冲 12.当二输入与非门输入为 变化时,输出可能有竞争冒险。 A .01→10 B .00→10 C .10→11 D .11→01 13.译码器74HC138的使能端321E E E 取值为 时,处于允许译码状态。 A .011 B .100 C .101 D .010 14.数据分配器和 有着相同的基本电路结构形式。 A .加法器 B .编码器 C .数据选择器 D .译码器

组合逻辑电路习题解答

复习思考题 3-1 组合逻辑电路的特点 从电路结构上看,组合电路只由逻辑门组成,不包含记忆元件,输出和输入之间无反馈。任意时刻的输出仅仅取决于该时刻的输入,而与电路原来的状态无关,即无记忆功能。 3-2 什么是半加什么是全加区别是什么 若不考虑有来自低位的进位将两个1位二进制数相加,称为半加。两个同位的加数和来自低位的进位三者相加,称为全加。半加是两个1位二进制数相加,全加是三个1位二进制数相加。 3-3 编码器与译码器的工作特点 编码器的工作特点:将输入的信号编成一个对应的二进制代码,某一时刻只能给一个信号编码。译码器的工作特点:是编码器的逆操作,将每个输入的二进制代码译成对应的输出电平。 3-4 用中规模组合电路实现组合逻辑函数是应注意什么问题 中规模组合电路的输入与输出信号之间的关系已经被固化在芯片中,不能更改,因此用中规模组合电路实现组合逻辑函数时要对所用的中规模组合电路的产品功能十分熟悉,才能合理地使用。 3-5 什么是竞争-冒险产生竞争-冒险的原因是什么如何消除竞争-冒险 在组合逻辑电路中,当输入信号改变状态时,输出端可能出现虚假信号----过渡干扰脉冲的现象,叫做竞争冒险。门电路的输入只要有两个信号同时向相反方向变化,这两个信号经过的路径不同,到达输入端的时间有差异,其输出端就可能出现干扰脉冲。消除竞争-冒险的方法有:接入滤波电容、引入选通脉冲、修改逻辑设计。 习 题 3-1试分析图所示各组合逻辑电路的逻辑功能。 解: (a)图 (1) 由逻辑图逐级写出表达式:)()(D C B A Y ⊕⊕⊕= (2) 化简与变换:

令 D C Y B A Y ⊕=⊕=21 则 21Y Y Y ⊕= 输入 中间变量 中间变量 输出 A B C D Y 1 Y 2 Y 0 0 0 0 0 0 0 1 0 0 1 0 0 0 1 1 0 1 0 0 0 1 0 1 0 1 1 0 0 1 1 1 1 0 0 0 1 0 0 1 1 0 1 0 1 0 1 1 1 1 0 0 1 1 0 1 1 1 1 0 1 1 1 1 0 0 0 0 1 1 1 1 1 1 1 1 0 0 0 0 0 1 1 0 0 1 1 0 0 1 1 0 0 1 1 0 0 1 1 0 1 0 0 1 1 0 0 1 0 1 1 0 (4)分析逻辑功能:由真值表可知,该电路所能完成的逻辑功能是:判断四个输入端输入1的情况,当输入奇数个1时,输出为1,否则输出为0。 (b)图 (1) 由逻辑图逐级写出表达式: B A B A Y ⊕⊕⊕=(2) 化简与变换:Y=1 由此可见,无论输入是什么状态,输出均为1 3-2 试分析图所示各组合逻辑电路的逻辑功能,写出函数表达式。

组合逻辑电路练习题及答案

组合逻辑电路练习题及答案 一.填空题(10) 1.任何有限的逻辑关系,不管多么复杂,其逻辑函数都可通过逻辑变量的与、或、非三种运算符加以实现,但逻辑函数的一般表达式不是唯一的,而其标准表达式是唯一的。 2.任意两个最小项之积为0,任意两个最大项之和为1。 3.对于逻辑函数BC A F,但这 AB F,为了化简,利用逻辑代数的基本定理,可表示为C C A AB 可能引起0型险象,因为在B=1、C=1时,化简前逻辑函数的值恒为1,但化简后逻辑函数的值为A A。 4.当我们在计算机键盘上按一个标为“9”的按键时,键盘向主机送出一个ASCII码,这个ASCII码的值为39。 5.在 3.3V供电的数字系统里,所谓的高电平并不是一定是 3.3V,而是有一个电压范围,我们把这个电压范围称为高电平容限;同样所谓的低电平并不是一定是0V,而也是有一个电压范围,我们把这个电压范围称为低电平容限。 二.选择题(10) 1.在下列程序存储器的种类中,可在线改写的有 b d。 a. PROM; b. E2PROM; c. EPROM; d. FLASH_M 2.为了实现某种逻辑运算关系,其实现方法有多种多样,其中历史上曾经用到的有以下几种方式,但实现的空间密度最小、能耗最低、能得到普及应用的实现方式是d。 a. 机械式; b.电磁式; c. 分立元件式; d. 集成电路 3.在数字电路中,根据电路是否具有反馈记忆功能,将其分为组合逻辑电路和时序逻辑电路两种。下列各项中,为组合逻辑电路的是befgi ,为时序逻辑电路的是acdh。 a. 触发器; b. 译码器; c. 移位寄存器; d. 计数器; e. 加法器; f. 编码器;g. 数值比较器;h. 寄存器;i. 多路选择器 4.卡诺图上变量的取值顺序是采用b的形式,以便能够用几何上的相邻关系表示逻辑上的相邻。 a. 二进制码; b. 循环码; c. ASCII码; d. 十进制码 5.在可编程逻辑芯片中,有PROM、PAL、GAL、CPLD等多种结构方式,其中PROM是b,PAL 是c,GAL是a,CPLD是a。 a. 与阵列可编程; b.或阵列可编程; c. 与或阵列皆可编程 三.简答题(50) 1.分别画出JK和D触发器的电路符号图,并分别画出将JK触发器转换成D触发器以及将D触发器转换成JK触发器的电路连接图。 1

数电期末试卷

数字电路考试试卷 一、填空 1.在三变量逻辑函数中,有m 5m 6= ,ΠM (0,1,2,3,4,5,6,7)= 。 2.十进制数78的二进制数是 ,八进制数是 ;十六进制数是 。 3.有一个六位D/A 转换器,设满刻度输出为6.3伏,当输入数字量为101001时,输出模拟电压为 。 4.ROM地址为A0~A77,输出为Y0~Y3,则ROM容量为 。 二.用卡诺图法化简下列函数为最简与或式。 1.F(A,B,C,D)=∑m(3,5,8,9,10,12)+∑d(0,1,2,13) 2.F(A,B,C,D)=(A+B+C+D )(A+B+C+D )(A+B+C+D )(B+C ) 三.某组合电路有3个输入逻辑变量A 、B 、C 和一个控制变量M 。当M=1 时,A 、B 、C 中有偶数个1,电路输出为1;当M=0时,A 、B 、C 中 有奇数个1,电路输出为1。 1.请列出真值表,写出输出函数的最简与或逻辑表达式; 2.用3-8译码器74LS138实现该电路。 四. 已知JK 触发器构成的电路如图所示,设Q 0,Q 1,Q 2初态为0,试画出在CP 作用下,Q 0、Q 1、Q 2的时序图。 五.作出下列两种情况下序列信号检测器的最简状态转换图,凡收到输入序列101时输出就为1。

1.规定检测的101序列不重叠; 2.允许检测的101序列重叠。 六.下图是由8选1数据选择器和同步4位二进制计数器74161构成的循环序列为1101001(左位在前)的序列信号发生器的部分连线图。 (1) 试完成该电路的连线; (2) 画出计数器的状态转换图 七.555定时器、计数器和集成施密特电路构成下图所示电路。 (1)说明电路各部分的功能。 (2)若集成施密特电路的V DD =10V ,R 1 = 100K Ω,C 1 = 0.01μF ,VT+=6.3V ,VT- =2.7V 求v 1端波形的周期T 。 (3)74161芯片进位端C 与其CP 端脉冲的分频比是多少? (4)若R = 30K Ω,C = 0.01μF ,求v O 端输出脉宽T W 是多少? (5)画出v 1 ,74161进位端C 和v O 的波形。 C 1μF v o

数电组合逻辑电路练习题

数电组合逻辑电路练习题 一、填空题 1. 如果对键盘上108个符号进行二进制编码,则至少要 位二进制数码2. C A AB Y +=,Y 的最简与或式为 。 3. TTL 电路如图1,电路的逻辑表达式F 。 图 1 4.四输入TTL 或非门,在逻辑电路中使用时,有2个输入端是多余的,应将多余端接 。 5. 在TTL 、CMOS 逻辑族中,在电源电压值相同时,噪声容限大的是_______________. 6.F=A B +BD+CDE+A D 最简的与或式是_______________. 7.试将函数F A B C AC BC AC A B AB (,,)()=++++,简化成与或表达式F =_____________. 8. 请分析图示TTL 器件组成的电路,填写所列的真值表. 9.请写出下图S 的表达式 。CO 的表达式 。

1. 7 ,2.AC AB +, 3.A+B , 4.接地, 接低电平或并联使用, 5.CMOS 逻辑;6. D B A +;7. F =C B +; 9.S=B A ⊕ ;CO=AB 二、是非题 (注:请在每小题后用"√"表示对,用"×"表示错) 1.图1TTL 电路逻辑表达式F=A 。 图 2.图2电路输出函数 F =B A + 。 3. 凡是用与非门构成的逻辑电路一定是组合电路。 4. CMOS 门的输出结构和TTL 的类似,可以分成标准的、漏极开路及3态输出三种 。 5. 十进制是7,它的8421BCD 码是0111。 6. 如果与非门输入端均为高电平,那么它所带的是灌电流负载 。 7. 一个16选一的数据选择器,其地址输入(选择控制输入)端有16。 8.当与非门两个输入端AB 的状态由00→11时,将可能产生竞争冒险。 9. 若有变量均为A 、B 、C 、D 的两个逻辑函数F 和G ,且有F +G =1的关系,则F =1-G 的等式成立。 10. 对于共阳接法的发光二极管数码显示器,应采用高电平驱动的七段显示译码器。 1. ×; 2. ×; 3. × ; 4. √; 5. √; 6. √; 7. ×; 8. ×; 9. ×;10. ×; DD F A B

第3章--组合逻辑电路习题解答

复习思考题 3-1 组合逻辑电路的特点? 从电路结构上看,组合电路只由逻辑门组成,不包含记忆元件,输出和输入之间无反馈。任意时刻的输出仅仅取决于该时刻的输入,而与电路原来的状态无关,即无记忆功能。 3-2 什么是半加?什么是全加?区别是什么? 若不考虑有来自低位的进位将两个1位二进制数相加,称为半加。两个同位的加数和来自低位的进位三者相加,称为全加。半加是两个1位二进制数相加,全加是三个1位二进制数相加。 3-3 编码器与译码器的工作特点? 编码器的工作特点:将输入的信号编成一个对应的二进制代码,某一时刻只能给一个信号编码。译码器的工作特点:是编码器的逆操作,将每个输入的二进制代码译成对应的输出电平。 3-4 用中规模组合电路实现组合逻辑函数是应注意什么问题? 中规模组合电路的输入与输出信号之间的关系已经被固化在芯片中,不能更改,因此用中规模组合电路实现组合逻辑函数时要对所用的中规模组合电路的产品功能十分熟悉,才能合理地使用。 3-5 什么是竞争-冒险?产生竞争-冒险的原因是什么?如何消除竞争-冒险? 在组合逻辑电路中,当输入信号改变状态时,输出端可能出现虚假信号----过渡干扰脉冲的现象,叫做竞争冒险。门电路的输入只要有两个信号同时向相反方向变化,这两个信号经过的路径不同,到达输入端的时间有差异,其输出端就可能出现干扰脉冲。消除竞争-冒险的方法有:接入滤波电容、引入选通脉冲、修改逻辑设计。 习 题 3-1试分析图3.55所示各组合逻辑电路的逻辑功能。 解: (a)图 (1) 由逻辑图逐级写出表达式:)()(D C B A Y ⊕⊕⊕= (2) 化简与变换:

令 D C Y B A Y ⊕=⊕=21 则 21Y Y Y ⊕= (3)由表达式列出真值表,见表3.1。 输入 中间变量 中间变量 输出 A B C D Y 1 Y 2 Y 0 0 0 0 0 0 0 1 0 0 1 0 0 0 1 1 0 1 0 0 0 1 0 1 0 1 1 0 0 1 1 1 1 0 0 0 1 0 0 1 1 0 1 0 1 0 1 1 1 1 0 0 1 1 0 1 1 1 1 0 1 1 1 1 0 0 0 0 1 1 1 1 1 1 1 1 0 0 0 0 0 1 1 0 0 1 1 0 0 1 1 0 0 1 1 0 0 1 1 0 1 0 0 1 1 0 0 1 0 1 1 0 (4)分析逻辑功能:由真值表可知,该电路所能完成的逻辑功能是:判断四个输入端输入1的情况,当输入奇数个1时,输出为1,否则输出为0。 (b)图 (1) 由逻辑图逐级写出表达式: B A B A Y ⊕⊕⊕=(2) 化简与变换:Y=1 由此可见,无论输入是什么状态,输出均为1 3-2 试分析图3.56所示各组合逻辑电路的逻辑功能,写出函数表达式。

第4章 组合逻辑电路 课后答案

第4章 [题4.1].分析图P4.1电路的逻辑功能,写出输出的逻辑函数式,列出真值表,说明电路逻辑功能的特点。 图P4.1 B Y AP 56 P P = 图P4.2 解:(1)逻辑表达式 ()()() 5623442344 232323232323 Y P P P P P CP P P P CP P P C CP P P P C C P P P P C P PC ===+=+=++=+ 2311P P BP AP BABAAB AB AB ===+ ()()()2323Y P P C P P C AB AB C AB ABC AB AB C AB AB C ABC ABC ABC ABC =+=+++=+++=+++ (2)真值表 (3)功能 从真值表看出,这是一个三变量的奇偶检测电路,当输入变量中有偶数个1和全为0时,Y =1,否则Y=0。 [题4.3] 分析图P4.3电路的逻辑功能,写出Y 1、、Y 2的逻辑函数式,列出真值表,指出电路完成什么逻辑功能。

图P4.3 B 1 Y 2 [解] 解: 2Y AB BC AC =++ 12 Y ABC A B C Y ABC A B C AB BC AC ABC ABC ABC ABC =+++=+++++=+++()()) B 、 C 为加数、被加数和低位的进位,Y 1为“和”,Y 2为“进位”。 [题4.4] 图P4.4是对十进制数9求补的集成电路CC14561的逻辑图,写出当COMP=1、Z=0、和COMP=0、Z=0时,Y 1~Y 4的逻辑式,列出真值表。

图P4.4 [解] (1)COMP=1、Z=0时,TG 1、TG 3、TG 5导通,TG 2、TG 4、TG 6关断。 3232211 , ,A A Y A Y A Y ⊕===, 4324A A A Y ++= (2)COMP=0、Z=0时, Y 1=A 1, Y 2=A 2, Y 3=A 3, Y 4=A 4。 COMP =0、Z=0的真值表从略。 [题4.5] 用与非门设计四变量的多数表决电路。当输入变量A 、B 、C 、D 有3个或3个以上为1时输出为1,输入为其他状态时输出为0。 [解] 题4.5的真值表如表A4.5所示,逻辑图如图A4.5(b)所示。

数字电子技术基础期末考试试卷及答案1[1]

填空题 1. (30.25) 10 = ( ) 2 = ( ) 16 。 2 . 逻辑函数L = + A+ B+ C +D = 1 。 3 . 三态门输出的三种状态分别为:、和。 4 . 主从型JK触发器的特性方 程= 。 5 . 用4个触发器可以存储位二进制数。 6 . 存储容量为4K×8位的RAM存储器,其地址线为 12 条、数据线为 8 条。二、选择题1.设下图中所有触发器的初始状态皆为0,找出图中触发器在时钟信号作用下,输出电压波形恒为0的是:(C )图。 2.下列几种TTL电路中, 输出端可实现线与功能的电路是( D)。 A、或非门 B、与非门 C、异或门 D、OC门 3.对CMOS与非门电路,其多余输入端正确的处理方法是(D )。 A通过大电阻接地(>1.5KΩ) B、悬空 C、通过小电阻接地(<1KΩ) D、通过电阻接V CC 4.图2所示电路为由555定时器构成的(A )。 A、施密特触发器 B、多谐振荡器 C、单稳态触发器 D、T触发器 5.请判断以下哪个电路不是时序逻辑电路(C )。 A、计数器 B、寄存器 C、译码器 D、触发器 6.下列几种A/D转换器中,转换速度最快的是(A )。 A、并行A/D转换器 B、计数型A/D转换器 C、逐次渐进型A/D转换器 B、 D、双积分A/D转换器 7.某电路的输入波形 u I 和输出波形 u O 如下图所示,则该电路为( C)。

A、施密特触发器 B、反相器 C、单稳态触发器 D、JK触发器 8.要将方波脉冲的周期扩展10倍,可采用(C )。 A、10级施密特触发器 B、10位二进制计数器 C、十进制计数器 B、D、10位D/A转换器 9、已知逻辑函数与其相等的函数为( D)。 A、 B、 C、 D、 10、一个数据选择器的地址输入端有3个时,最多可以有( C)个数据信号输出。 A、4 B、6 C、8 D、16 三、逻辑函数化简(每题5分,共10分) 1、用代数法化简为最简与或式 Y= A + 2、用卡诺图法化简为最简或与式 Y= + C +A D,约束条件:A C + A CD+AB=0 四、分析下列电路。(每题6分,共12分) 1、写出如图1所示电路的真值表及最简逻辑表达式。

组合逻辑电路习题(附答案)

例1 指出下图1所示电路的输出逻辑电平是高电平、低电平还是高阻态。已知图(a)中的门电路都是74系列的TTL门电路,图(b)中的门电路为CC4000系列的CMOS门电路。 图1 解:TTL门电路的输入端悬空时,相当于高电平输入,输入端接有电阻时,其电阻阻值大于1.4K时,该端也相当于高电平,电阻值小于0.8K时,该端才是低电平。而CMOS逻辑门电路,输入端不管是接大电阻还是接小电阻,该端都相当于低电平(即地电位)。所以有如下结论: (a) 1L为低电平状态;2L是低电平状态;3L是高电平状态;4L 输出为高阻状态; (b) 1L输出为高电平;2L输出是低电平状态;3L输出是低电平

状态; 例2 图例2所示为用三态门传输数据的示意图,图中n 个三态门连到总线BUS ,其中D 1、D 2、…、D n 为数据输入端,EN 1、EN 2、…、EN n 为三态门使能控制端,试说明电路能传输数据的原理。 图例2 解:由三态门电路符号可知,当使能端低电平时,三态门输出为高阻阻态,所以,只要给各三态门的使能端n EN EN EN ,,,21 依次为高电平时,则,1n D D 的数据就依次被传输到总线上去。 例3 某功能的逻辑函数表达式为L=∑m(1,3,4,7,12,14,15); (1)试用最少量的“与-非”门实现该函数; (2)试用最少量的“或-非”门实现该函数; 解: (1)设变量为A 、B 、C 、D ,用卡诺图化简,结合“1”方格

得:D B A CD A ABC D C B D B A CD A ABC D C B D C B A f L ) , , , ( (2)卡诺图中结合“0”方格,求最简的“或—与”表达式,得: D C A D C B D B B A D C A D C B D B B A L ) )( )( )( (

第章组合逻辑电路习题解答

第章组合逻辑电路习题 解答 公司内部档案编码:[OPPTR-OPPT28-OPPTL98-OPPNN08]

复习思考题 3-1 组合逻辑电路的特点 从电路结构上看,组合电路只由逻辑门组成,不包含记忆元件,输出和输入之间无反馈。任意时刻的输出仅仅取决于该时刻的输入,而与电路原来的状态无关,即无记忆功能。 3-2 什么是半加什么是全加区别是什么 若不考虑有来自低位的进位将两个1位二进制数相加,称为半加。两个同位的加数和来自低位的进位三者相加,称为全加。半加是两个1位二进制数相加,全加是三个1位二进制数相加。 3-3 编码器与译码器的工作特点 编码器的工作特点:将输入的信号编成一个对应的二进制代码,某一时刻只能给一个信号编码。译码器的工作特点:是编码器的逆操作,将每个输入的二进制代码译成对应的输出电平。 3-4 用中规模组合电路实现组合逻辑函数是应注意什么问题 中规模组合电路的输入与输出信号之间的关系已经被固化在芯片中,不能更改,因此用中规模组合电路实现组合逻辑函数时要对所用的中规模组合电路的产品功能十分熟悉,才能合理地使用。 3-5 什么是竞争-冒险产生竞争-冒险的原因是什么如何消除竞争-冒险 在组合逻辑电路中,当输入信号改变状态时,输出端可能出现虚假信号----过渡干扰脉冲的现象,叫做竞争冒险。门电路的输入只要有两

个信号同时向相反方向变化,这两个信号经过的路径不同,到达输入端的时间有差异,其输出端就可能出现干扰脉冲。消除竞争-冒险的方法有:接入滤波电容、引入选通脉冲、修改逻辑设计。 习 题 3-1试分析图所示各组合逻辑电路的逻辑功能。 解: (a)图 (1) 由逻辑图逐级写出表达式:)()(D C B A Y ⊕⊕⊕= (2) 化简与变换: 令 D C Y B A Y ⊕=⊕=21 则 21Y Y Y ⊕= (3)由表达式列出真值表,见表。 输入 中间变量 中间变 量 输出 A B C D Y 1 Y 2 Y 0 0 0 0 0 0 0 1 0 0 1 0 0 0 0 1 0 1 1 0 0 0 1 1 0 1

第4章组合逻辑电路习题解答

习题 4.1写出图所示电路的逻辑表达式,并说明电路实现哪种逻辑门的功能。(基本题属于4.1节) 习题4.1图 解:B A B A B A B A B A F ⊕=+=+= 该电路实现异或门的功能 4.2分析图所示电路,写出输出函数F 。(基本题属于4.1节) 习题4.2图 解:[]B A B B B A F ⊕=⊕⊕⊕=)( 4.3已知图示电路及输入A 、B 的波形,试画出相应的输出波形F ,不计门的延迟.(基本 题属于4.1节) 图 解:B A B A B A AB B AB A AB B AB A F ⊕=?=???=???= 4.4由与非门构成的某表决电路如图所示。其中A 、B 、C 、D 表示4个人,L=1时表示决议通过。(基本题属于4.1节) (1) 试分析电路,说明决议通过的情况有几种。 (2) 分析A 、B 、C 、D 四个人中,谁的权利最大。 L B A =1 =1 =1 F F B A

习题4.4图 解:(1)ABD BC CD ABD BC CD L ++=??= (2) (3)4.5分析图所示逻辑电路,已知S 1﹑S 0为功能控制输入,A ﹑B 为输入信号,L 为输出,求电路所具有的功能。(基本题属于4.1节) 习题4.5图 解:(1)011011)(S S B S A S S B S A L ⊕⊕+⊕=⊕⊕?⊕= (2) (3)当S 1S 0=00和S 1S 0=11时,该电路实现两输入或门,当S 1S 0=01时,该电路实现两输入或非门,当S 1S 0=10时,该电路实现两输入与非门。 4.6试分析图所示电路的逻辑功能,并用最少的与非门实现。(综合题属于4.1、4.2节) 10

相关文档
相关文档 最新文档