文档库 最新最全的文档下载
当前位置:文档库 › 《数字电子技术》经典复习资料

《数字电子技术》经典复习资料

《数字电子技术》经典复习资料
《数字电子技术》经典复习资料

《数字电子技术》复习

一、主要知识点总结和要求

1.数制、编码其及转换:要求:能熟练在10进制、2进制、8进制、16进制、8421BCD 、格雷码之间进行相互转换。

举例1:(37.25)10= ( )2= ( )16= ( )8421BCD 解:(37.25)10= ( 100101.01 )2= ( 25.4 )16= ( 00110111.00100101 )8421BCD 2.逻辑门电路: (1)基本概念

1)数字电路中晶体管作为开关使用时,是指它的工作状态处于饱和状态和截止状态。 2)TTL 门电路典型高电平为3.6 V ,典型低电平为0.3 V 。 3)OC 门和OD 门具有线与功能。

4)三态门电路的特点、逻辑功能和应用。高阻态、高电平、低电平。 5)门电路参数:噪声容限V NH 或V NL 、扇出系数N o 、平均传输时间t pd 。

要求:掌握八种逻辑门电路的逻辑功能;掌握OC 门和OD 门,三态门电路的逻辑功能;能根据输入信号画出各种逻辑门电路的输出波形。 举例2:画出下列电路的输出波形。

解:由逻辑图写出表达式为:C B A C B A Y ++=+=,则输出Y 见上。 3.基本逻辑运算的特点:

与 运 算:见零为零,全1为1;或 运 算:见1为1,全零为零;

与非运算:见零为1,全1为零;或非运算:见1为零,全零为1;

异或运算:相异为1,相同为零;同或运算:相同为1,相异为零;

非运算:零变1,1 变零;

要求:熟练应用上述逻辑运算。

4. 数字电路逻辑功能的几种表示方法及相互转换。

①真值表(组合逻辑电路)或状态转换真值表(时序逻辑电路):是由变量的所有可能取值组合及其对应的函数值所构成的表格。

②逻辑表达式:是由逻辑变量和与、或、非3种运算符连接起来所构成的式子。

③卡诺图:是由表示变量的所有可能取值组合的小方格所构成的图形。

④逻辑图:是由表示逻辑运算的逻辑符号所构成的图形。

⑤波形图或时序图:是由输入变量的所有可能取值组合的高、低电平及其对应的输出函数值的高、低电平所构成的图形。

⑥状态图(只有时序电路才有):描述时序逻辑电路的状态转换关系及转换条件的图形称为状态图。

要求:掌握这五种(对组合逻辑电路)或六种(对时序逻辑电路)方法之间的相互转换。

5.逻辑代数运算的基本规则

①反演规则:对于任何一个逻辑表达式Y,如果将表达式中的所有“·”换成“+”,“+”换成“·”,“0”

换成“1”,“1”换成“0”,原变量换成反变量,反变量换成原变量,那么所得到的表达式就是函数Y 的反函数Y(或称补函数)。这个规则称为反演规则。

②对偶规则:对于任何一个逻辑表达式Y ,如果将表达式中的所有“·”换成“+”,“+”换成“·”,“0”换成“1”,“1”换成“0”,而变量保持不变,则可得到的一个新的函数表达式Y ',Y '称为函Y 的对偶函数。这个规则称为对偶规则。要求:熟练应用反演规则和对偶规则求逻辑函数的反函数和对偶函数。 举例3:求下列逻辑函数的反函数和对偶函数

解:反函数: ;对偶函数:

6.逻辑函数化简

要求:熟练掌握逻辑函数的两种化简方法。

①公式法化简:逻辑函数的公式化简法就是运用逻辑代数的基本公式、定理和规则来化简逻辑函数。 举例4:用公式化简逻辑函数:C B BC A ABC Y ++=1

解:

②图形化简:逻辑函数的图形化简法是将逻辑函数用卡诺图来表示,利用卡诺图来化简逻辑函数。(主要适合于3个或4个变量的化简)

举例5:用卡诺图化简逻辑函数:)6,4()7,3,2,0(),,(d m C B A Y ∑+∑=

解:画出卡诺图为

则B C Y

+=

7.触发器及其特性方程 1)触发器的的概念和特点:

触发器是构成时序逻辑电路的基本逻辑单元。其具有如下特点: ①它有两个稳定的状态:0状态和1状态;

E D C B A Y +=

))((E D C B A Y +++=

))((E D C B A Y +++='

②在不同的输入情况下,它可以被置成0状态或1状态,即两个稳态可以相互转换; ③当输入信号消失后,所置成的状态能够保持不变。具有记忆功能 2)不同逻辑功能的触发器的特性方程为: RS 触发器:n n Q R S Q +=+1

,约束条件为:RS =0,具有置0、置1、保持功能。

JK 触发器:n n n Q K Q J Q +=+1

,具有置0、置1、保持、翻转功能。

D 触发器: D Q n =+1

,具有置0、置1功能。

T 触发器:

n n n Q T Q T Q +=+1,具有保持、翻转功能。

T ′触发器: n n Q Q

=+1

(计数工作状态),具有翻转功能。

要求:能根据触发器(重点是JK-FF 和D-FF )的特性方程熟练地画出输出波形。 举例6:已知J ,K-FF 电路和其输入波形,试画出

8.脉冲产生和整形电路

1)施密特触发器是一种能够把输入波形整形成为适合于数字电路需要的矩形脉冲的电路。要求:会根据输入波形画输出波形。

特点:具有滞回特性,有两个稳态,输出仅由输入决定,即在输入信号达到对应门限电压时触发翻转,没有记忆功能。

2)多谐振荡器是一种不需要输入信号控制,就能自动产生矩形脉冲的自激振荡电路。 特点:没有稳态,只有两个暂稳态,且两个暂稳态能自动转换。

3)单稳态触发器在输入负脉冲作用下,产生定时、延时脉冲信号,或对输入波形整形。 特点:①电路有一个稳态和一个暂稳态。

②在外来触发脉冲作用下,电路由稳态翻转到暂稳态。

③暂稳态是一个不能长久保持的状态,经过一段时间后,电路会自动返回到稳态。

要求:熟练掌握555定时器构成的上述电路,并会求有关参数(脉宽、周期、频率)和画输出波形。

举例7:已知施密特电路具有逆时针的滞回特性,试画出输出波形。

解:

9.A/D和D/A转换器

1)A/D和D/A转换器概念:

模数转换器:能将模拟信号转换为数字信号的电路称为模数转换器,简称A/D转换器或ADC。由采样、保持、量化、编码四部分构成。

数模转换器:能将数字信号转换为模拟信号的电路称为数模转换器,简称D/A转换器或DAC。由基准电压、变换网络、电子开关、反向求和构成。

ADC和DAC是沟通模拟电路和数字电路的桥梁,也可称之为两者之间的接口。

2)D/A转换器的分辨率

分辨率用输入二进制数的有效位数表示。在分辨率为n位的D/A转换器中,输出电压能区分2n个不同的输入二进制代码状态,能给出2n个不同等级的输出模拟电压。

分辨率也可以用D/A转换器的最小输出电压与最大输出电压的比值来表示。

举例8:10位D/A转换器的分辨率为:

001

.0

1023

1

1

2

1

10

=

-

3)A/D转换器的分辨率A/D转换器的分辨率用输出二进制数的位数表示,位数越多,误差越小,转换精度越高。

举例9:输入模拟电压的变化范围为0~5V,输出8位二进制数可以分辨的最小模拟电压为5V×2-8=20mV;而输出12位二进制数可以分辨的最小模拟电压为5V×2-12≈1.22mV。

10.常用组合和时序逻辑部件的作用和特点

组合逻辑部件:编码器、译码器、数据选择器、数据分配器、半加器、全加器。

时序逻辑部件:计数器、寄存器。

要求:掌握编码器、译码器、数据选择器、数据分配器、半加器、全加器、计数器、寄存器的定义,功能和特点。

举例10:能对两个1位二进制数进行相加而求得和及进位的逻辑电路称为半加器。

二、典型题型总结及要求

(一)分析题型

1.组合逻辑电路分析:

分析思路:

①由逻辑图写出输出逻辑表达式;

②将逻辑表达式化简为最简与或表达式;

③由最简与或表达式列出真值表;

④分析真值表,说明电路逻辑功能。

要求:熟练掌握由门电路和组合逻辑器件74LS138、74LS153、74LS151构成的各种组合逻辑电路的分析。

举例11:分析如图逻辑电路的逻辑功能。

解:

①由逻辑图写出输出逻辑表达式 ②将逻辑表达式化简为最简与或表达式

③由最简与或表达式列出真值表 ④分析真值表,说明电路逻辑功能

当输入A 、B 、C 中有2个或3个为1时,输出Y 为1,否则输出Y 为0。所以这个电路实际上是一种3人表决用的组合逻辑电路:只要有2票或3票同意,表决就通过。 2.时序逻辑电路分析: 分析思路:

① 由电路图写出时钟方程、驱动方程和输出方程; ② 将驱动方程代入触发器的特征方程,确定电路状态方程; ③分析计算状态方程,列出电路状态表; ④由电路状态表画出状态图或时序图; ⑤分析状态图或时序图,说明电路逻辑功能。

要求:熟练掌握同步时序电路,比如同步加法计数器、减法计数器、环形计数器、扭环形计数器的分析。

举例12:如图所示时序逻辑电路,试分析它的逻辑功能,验证是否能自启动,并画出状态转换图和时序

AC

BC AB Y Y Y Y 321==CA

BC AB Y ++=

图。 解:

时钟方程为:CP0=CP1=CP 激励方程为:

???????

?====1

1

101010K Q J K Q J n

n

将激励方程代入J-K-FF 的特性方程可得状态方程为

???=+==+=++n

n n n n n

n n

n n Q Q Q K Q J Q Q Q Q K Q J Q 1

0111100001010 由状态方程做出状态转换表为:

n Q 1n Q 0

11+n Q

10+n Q

0 0 0 1 0 1 1 0 1 0 0 0 1 1

则状态转换图和时序图为:

可见电路具有自启动特性,这是一个三进制计数器。 (二)设计题型 1.组合逻辑电路设计: 设计思路:

① 由电路功能描述列出真值表; ② 由真值表写出逻辑表达式或卡若图;

③将表达式化简为最简与或表达式; ④实现逻辑变换,画出逻辑电路图。

要求:熟练掌握用常用门电路和组合逻辑器件74LS138、74LS153、74LS151设计实现各种组合逻辑电路。

举例13:某汽车驾驶员培训班进行结业考试,有三名评判员,其中A 为主评判员,B 和C 为副评判员,在评判时按照服从多数原则通过,但主评判员认为合格也通过,试用与非门实现该逻辑电路。(或用74138、74151、74153实现)

解:由题意可作出真值表为:用卡诺图化简为

A B C Y 0 0 0 0 0 0 1 0 0 1 0 0 0 1 1 1 1 0 0 1 1 0 1 1 1 1 0 1 1 1 1

1

则输出逻辑表达式为BC A BC A Y =+=

用与非门实现逻辑电路图为:

2.时序逻辑电路设计:

设计思路:

①由设计要求画出原始状态图或时序图;

②简化状态图,并分配状态;

③选择触发器类型,求时钟方程、输出方程、驱动方程;

④画出逻辑电路图;

⑤检查电路能否自启动。

要求:熟练掌握同步时序电路,比如同步加法计数器、减法计数器的设计实现。

举例14:设计一个按自然态序变化的7进制同步加法计数器,计数规则为逢七进1,产生一个进位输出。解:①建立原始状态图:

②简化状态图,并分配状态:已经是最简,已是二进制状态;

③选择触发器类型,求时钟方程、输出方程、驱动方程:因需用3位二进制代码,选用3个CP下降沿触发的JK触发器,分别用FF0、FF1、FF2表示。

由于要求采用同步方案,故时钟方程为:

输出方程:

状态方程:

④画出电路图

⑤检查电路能否自启动:

将无效状态111代入状态方程计算:可见111的次态为有效状态000,电路能够自启动。

CP

CP CP CP ===210

3.集成计数器和寄存器的应用:构成N进制计数器,构成环形计数器和扭环形计数器。

要求:熟练掌握74LS160、74LS161、74LS162、74LS163四种集成计数器应用,比如分析或设计N 进制计数器;熟练掌握74LS194应用,比如分析或设计环形计数器和扭环形计数器。

1.用同步清零端或置数端归零构成N进置计数器

(1)写出状态S N-1的二进制代码。

(2)求归零逻辑,即求同步清零端或置数控制端信号的逻辑表达式。

(3)画连线图。

2.用异步清零端或置数端归零构成N进置计数器

(1)写出状态S N的二进制代码。

(2)求归零逻辑,即求异步清零端或置数控制端信号的逻辑表达式。

(3)画连线图。

举例15:用74LS161来构成一个十二进制计数器。解:

(1)用异步清零端CR归零:S N=S12=1100则电路为:

注:这里D0~D3可随意处理。

(2)用同步置数端LD归零:

S N=S11=1011

则电路为:注:这里D0~D3必须都接0。

举例16:用74LS160来构成一个48进制同步加法计数器。

解:因74LS160为同步十进制计数器,要构成48进制同步加法计数器须用二片74LS160来实现,现采用异步清零实现:S48=01001000,取高位片的Q C和低位片的Q D作归零反馈信号。即清零端CR归零信号为:

D低

C高

Q

Q

CR=,则电路连线图为:

n

n

n Q

Q

Q

LD

1

3

=

(三)计算和画图题型:要求:会分析电路工作原理,说明电路功能;会根据题意计算电路参数,或正确画出电路波形。

举例17:如图电路,完成下列问题:

1)说明这是什么电路?

2)求电路的输出信号频率f

3)画出V C及V O的波形。

解:

1)这是一个由555定时器构成的多谐振荡器。

2)其振荡周期为

s

C

R

R

T

84

.0

10

20

10

)

40

20

(7.0

)

2

(7.0

6

3

2

1

=

?

?

?

+

=

+

=

-

则其频率为Hz

T

f2.1

84

.0

1

1

=

=

3)V C及V O的波形的波形为:

三、基本概念练习

一、判断题

1.CMOS门电路为双极型电路,而TTL门电路则为单极型电路。()

2.能够实现“线与”功能的门电路是OC门或OD门。()

3.施密特触发器的特点是只有一个稳态,需在外加信号作用下才能由稳态翻转到暂稳态。()4.在时钟脉冲的控制下,根据输入信号T不同情况,凡是具有保持和翻转功能的电路,称为T触发器。()

5.某电路任意时刻的输出不仅取决于当时的输入信号,而且与电路的原状态有关,该电路为时序逻辑电路。( )

6.若集成555定时器的第4脚接低电平时,不管输入信号为任意值,定时器始终输出高电平。( )

二、填空题:

1.(44.375)10= 2 = 8 = 16 = 8421BCD。

2.Y=AB(C+D),它的反函数Y= ;对偶函数Y = 。

3.或非逻辑运算特点是,异或逻辑运算特点为。

4.n-2 n线译码器的输入代码为个,输出代码为个。

5.就单稳态触发器和施密特触发器而言,若要实现延时、定时的功能,应选用;若要实现波形变换、整形的功能,应选用。

6.一位二进制计数器可实现分频;n位二进制计数器,最后一个触发器输出的脉冲频率是输入频率的倍。

三、选择题

1.八位二进制数所能表示的最大十进制数为( )。

(a) 255 (b) 88 (c) 99 (d) 128 2.下图中能实现B A Y ⊕=逻辑运算的电路是( )。

3.8421BCD 十进制译码器,数字输入信号端和数字输出信号端分别有( )个。

(a)4和16 (b) 3和8 (c) 3和10 (d) 4和10

4.四个触发器构成十进制加法计数器,若触发器输出从低位至高位分别为Q 0、Q 1、Q 2、Q 3,则输出进位信号C 为( )

(a) Q 3Q 1 (b) Q 3Q 2Q 1Q 0 (c) Q 2Q 1Q 0 (d) Q 3Q 0 5.能将输入三角波信号转换成矩形脉冲信号输出的电路是( )。

(a) 多谐振荡器 (b) A /D 转换器 (c) 单稳态触发器 (d) 施密特触发器

6.若A/D 转换器输入模拟电压的变化范围为0~5V ,则输出10位二进制数可以分辨的最小模拟电压为( )

(a)1.5mV (b)2.4mV (c)4.9mV (d)6.5mV

数电课程各章重点 第一章 逻辑代数基础知识要点

一、二进制、十进制、十六进制数之间的转换;二进制数的原码、反码和补码

二、逻辑代数的三种基本运算以及5种复合运算的图形符号、表达式和真值表:与、或、非 三、逻辑代数的基本公式和常用公式、基本规则

逻辑代数的基本公式 逻辑代数常用公式:

吸收律:A AB A =+

消去律:B A B A A +=+ A B A AB =+ 多余项定律:C A AB BC C A AB +=++ 反演定律:B A AB += B A B A ?=+ B A AB B A B A +=+ 基本规则:反演规则和对偶规则,例1-5 四、逻辑函数的三种表示方法及其互相转换

逻辑函数的三种表示方法为:真值表、函数式、逻辑图 会从这三种中任一种推出其它二种,详见例1-7 五、逻辑函数的最小项表示法:最小项的性质;例1-8 六、逻辑函数的化简:要求按步骤解答

1、 利用公式法对逻辑函数进行化简

2、 利用卡诺图对逻辑函数化简

3、 具有约束条件的逻辑函数化简 例1.1

利用公式法化简 BD C D A B A C B A ABCD F ++++=)(

解:BD C D A B A C B A ABCD F ++++=)(

BD C D A B A B A ++++= )(C B A C C B A +=+ BD C D A B +++= )(B B A B A =+ C D A D B +++= )(D B BD B +=+ C D B ++= )(D D A D =+

例1.2 利用卡诺图化简逻辑函数 ∑=)107653()(、、、、

m ABCD Y 约束条件为

∑8)4210(、、、、

m 解:函数Y 的卡诺图如下:

00 01 11 1000011110AB CD

111

×

11××××D B A Y +=

第二章 门电路知识要点

一、三极管开、关状态

1、饱和、截止条件:截止:T be V V <, 饱和:β

CS

BS B I I i =>

2、反相器饱和、截止判断 二、基本门电路及其逻辑符号

与门、或非门、非门、与非门、OC 门、三态门、异或; 传输门、OC/OD 门及三态门的应用 三、门电路的外特性

1、输入端电阻特性:对TTL 门电路而言,输入端通过电阻接地或低电平时,由于输入电流流过该电阻,会在电阻上产生压降,当电阻大于开门电阻时,相当于逻辑高电平。习题2-7 以下内容了解

2、输入短路电流I IS

输入端接地时的输入电流叫做输入短路电流I IS 。 3、输入高电平漏电流I IH

输入端接高电平时输入电流

4、输出高电平负载电流I OH

5、输出低电平负载电流I OL

6、扇出系数N O

一个门电路驱动同类门的最大数目

第三章组合逻辑电路知识要点

一、组合逻辑电路:任意时刻的输出仅仅取决于该时刻的输入,与电路原来的状态无关

二、组合逻辑电路的分析方法(按步骤解题)

逻辑图→

写出逻辑函数式

真值表

逻辑功能

化简

三、若干常用组合逻辑电路

译码器(74LS138)

全加器(真值表分析)

数选器(74151和74153)

四、组合逻辑电路设计方法(按步骤解题)

1、用门电路设计

2、用译码器、数据选择器实现

例3.1试设计一个三位多数表决电路

1、用与非门实现

2、用译码器74LS138实现

3、用双4选1数据选择器74LS153

解:1. 逻辑定义

设A 、B 、C 为三个输入变量,Y 为输出变量。逻辑1表示同意,逻辑0表示不同意,输出变量Y=1表示事件成立,逻辑0表示事件不成立。

2. 根据题意列出真值表如表

3.1所示 表3.1

A B C Y 00000000000000001111

1111

1111

1111

3. 经化简函数Y 的最简与或式为:AC BC AB Y ++=

4. 用门电路与非门实现

函数Y 的与非—与非表达式为:AC BC AB

Y = 逻辑图如下:

Y

5. 用3—8译码器74LS138实现

由于74LS138为低电平译码,故有i i Y m = 由真值表得出Y 的最小项表示法为:

7653m m m m Y +++=

7653m m m m ???= 7653Y Y Y Y ???= 用74LS138实现的逻辑图如下:

数字电子技术总复习1

【教学内容】 第一章逻辑代数基础总结主要内容 ?数制和码制 ?逻辑代数的公式和定理 ?逻辑函数的表示方法 ?逻辑函数的化简方法 具体内容 ?二进制数、十进制数、十六进制数及其互相转换 ?各种BCD码(8421码、余3码、2421码、5211码、余3循环码)思考题: 1.以下代码中为无权码的为CD 。 A. 8421BCD码 B. 5421BCD码 C.余三码 D.格雷码 2 一位十六进制数可以用 C 位二进制数来表示。 A.1 B.2 C.4 D. 16 B.0 3 十进制数25用8421BCD码表示为 B 。 A.10 101 B.0010 0101 C.100101 D.10101 4 与八进制数(47.3)8等值的数为:AB (100111.011)2 B.(27.6)16 C.(27.3 )16 D. (100111.11)2 5. 常用的BCD码有 C D 。 A.奇偶校验码 B.格雷码 C.8421码 D.余三码 答案:1.C D 2.C 3.B 4.AB 5.CD 思考题. (10110010.1011)2=( )8=( )16 答案:262.54 B2.B 思考题. ( 0111 1000)8421BCD =()2=( )8=( )10=( )16 答案:1001110 116 78 4E ?各种逻辑运算(与、或、非、与非、或非、与或非、异或、同或)?逻辑代数的常用公式和定理 思考题 1. 以下表达式中符合逻辑运算法则的是 D 。 A.C·C=C2 B.1+1=10 C.0<1 D.A+1=1 2. 当逻辑函数有n个变量时,共有 D 个变量取值组合? A. n B. 2n C. n2 D. 2n 3. 逻辑函数的表示方法中具有唯一性的是 AD 。 A .真值表 B.表达式 C.逻辑图 D.卡诺图 4.求一个逻辑函数F的对偶式,可将F中的 ACD 。 A .“·”换成“+”,“+”换成“·” B.原变量换成反变量,反变量换成原变量 C.变量不变 D.常数中“0”换成“1”,“1”换成“0”

数字电子技术期末试题库

【数字电子技术】【试题库】 一、填空题 1.电子电路中的信号可分为两大类,即模拟信号和。 2.数字信号是时间上和上都不连续的信号。 3.十进制数176转换成二进制数为。 4.二进制数11010011转换成十进制数为。 5.所谓二-十进制编码,就是用若干位二进制码元按一定的规律排列起来表示十进制数的过程,也称为码。 6.目前,国际最通用的处理字母、专用符号和文字的二进制代码就是美国标准信息交换码,即码。 ?+?=。 7.二进制数的逻辑运算0111 8. 二进制数的逻辑运算11=。 ⊕=。 9. 二进制数的逻辑运算11 +?=。 10.利用逻辑代数公式,对右式进行化简,A A B ++?=。 11.利用逻辑代数公式,对右式进行化简,A B A B 12.逻辑代数的三条重要规则分别是代入规则、反演规则和。 13.由n个逻辑变量组成的不同最小项个数为个。 14.由n个变量组成的“与或”逻辑表达式,若其中每一项均是关于n个逻辑变量的最小项,则称这一表达式为。 15.利用卡诺图求解最简逻辑表达式时,需要画方格圈,其中有三条要求:将2n个值为1的方格划为一个方格圈,方格圈的数量应(越少/越多)越好,方格圈所含的方格数应(越少/越多)越好。 16.三极管作为开关元件,通常工作在截止区和。 17.集成门电路主要有TTL门电路和。 18.三态门电路的输出有高电平、低电平和共3种状态。 19.TTL集成门电路是由半导体构成的,由于它工作速度快,带负载和抗干扰能力强,因而在数字电路中应该广泛。 20.根据逻辑功能的不同特点,数字逻辑电路可以分为两大类:组合逻辑电路和。 21.在组合逻辑电路中,当输入信号改变状态时,输出端可能出现干扰脉冲,从而导致逻辑电路产生错误输出的现象,称为。 22.触发器有两种稳定状态,即0状态和。 23.RS触发器由两个门电路首尾相连构成。 24.为了避免基本RS触发器输出存在不确定的情况,对其输入端设置了相应的约束条件是。

(完整版)数字电子技术基础模拟试题A及答案

74LS191功能表 LD CT D U / CP D 0 D 1 D 2 D 3 Q 0 Q 1 Q 2 Q 3 0 × × × d 0d 1 d 2 d 3 1 0 0 ↑ ×××× 1 0 1 ↑ ×d 0 d 1 d 2 d 3 加法计数 减法计数 命 题 人 : 审 题 人 : 命 题 时 间 : 系名 专业 年级、班 学号 姓名 数字电子技术 课程试题( 卷) 题号 一 二 三 四 五 六 七 八 九 十 总分 得分 (请将答案写在答题纸上,答在试卷上不给分) 一. 选择题(16分) 1.已知A B A B B A Y +++=,下列结果正确的是( ) a . Y =A b .Y=B c .A B Y += d .Y=1 2.已知A=(10.44)10(下标表示进制),下列结果正确的是( ) a . A=(1010.1)2 b .A=(0A .8)16 c . A=(12.4)8 d .A=(20.21)5 3.下列说法不正确的是( ) a .当高电平表示逻辑0、低电平表示逻辑1时称为正逻辑 b .三态门输出端有可能出现三种状态(高阻态、高电平、低电平) c .OC 门输出端直接连接可以实现正逻辑的线与运算 d .集电极开路的门称为OC 门 4.以下错误的是( ) a .数字比较器可以比较数字大小 b . 半加器可实现两个一位二进制数相加 c .编码器可分为普通全加器和优先编码器 d .上面描述至少有一个不正确 5.下列描述不正确的是( ) a .触发器具有两种状态,当Q=1时触发器处于1态 b .时序电路必然存在状态循环 c .异步时序电路的响应速度要比同步时序电路的响应速度慢 d .主从JK 触发器具有一次变化现象 6.电路如下图(图中为上升沿Jk 触发器),触发器当前状态Q 3 Q 2 Q 1为“100”,请问在时钟作用下,触发器下一状态(Q 3 Q 2 Q 1)为( ) a .“101” b .“100” c .“011” d .“000” 7.电路如下图,已知电路的当前状态Q 3 Q 2 Q 1 Q 0为“1100”,74LS191具有异步置数的逻辑功能,请问在时钟作用下,电路的下一状态(Q 3 Q 2 Q 1 Q 0)为( ) a .“1100” b .“1011” c .“1101” d .“0000” 8.下列描述不正确的是( ) a .EEPROM 具有数据长期保存的功能且比EPROM 在数据改写上更方便 b .DAC 的含义是数-模转换、ADC 的含义是模数转换 c .积分型单稳触发器电路只有一个状态 d .上面描述至少有一个不正确 二.判断题(9分) 1.TTL 输出端为低电平时带拉电流的能力为5mA ( ) 2.TTL 、CMOS 门中未使用的输入端均可悬空( ) 3.当决定事件发生的所有条件中任一个(或几个)条件成立时,这件事件就会发生,这种因果关系称为与运算。() 4.将代码状态的特点含义“翻译”出来的过程称为译码。实现译码操作的电路称为译码器。() 5.设计一个3进制计数器可用2个触发器实现( ) 6.移位寄存器除了可以用来存入数码外,还可以利用它的移存规律在一定的范围内构成任意模值n 的计数器。所以又称为移存型计数器( ) 7. 判断时序逻辑电路能否自启动可通过判断该电路是否存在有效循环来实现( ) 8. 施密特触发器电路具有两个稳态,而多谐振荡器电路没有稳态( ) 9. DRAM 需要定期刷新,因此,在微型计算机中不如SRAM 应用广泛( ) 三.计算题(8分) 1、在如图所示电路中,U cc =5V ,U BB =9V ,R 1=5.1kΩ, R 2=15kΩ,R c =1kΩ,β=40,请计算U I 分别为5V ,0.3V 时输出U O 的大小?。 密 线 封 A B

数字电子技术基础1答案

数字电子技术基础 模拟卷1 一、单项选择题 1、将十进制数56转换成8421BCD 码应是: (D ) A 、(56)10= (0011 1000)8421BCD B 、(56)10= (0011 1001)8421BCD C 、(56)10= (0101 1000)8421BCD D 、(56)10= (0101 0110)8421BCD 2、使晶体三极管工作于饱和区的电压条件是: (C ) A 、发射结正偏,集电结反偏 B 、发射结反偏,集电结反偏 C 、发射结正偏,集电结正偏 D 、发射结反偏,集电结正偏 3、只有当两个输入变量的取值相同时,输出才为1,否则输出为0,这种逻辑关系叫做: (A ) A 、同或 B 、与非 C 、异或 D 、或非 4、在功能表中×的含义是: ( D ) A 、表示高电平 B 、表示低电平 C 、高低电平都不可以 D 、高低电平都可以 5、下列4个电路中能实现AB L 逻辑关系的是: ( C ) A A ≥1 & B B B A L 1 L =1 B A =1 A B C D 6、TTL 门电路理论上的逻辑低电平为: ( B ) A 、0V B 、0.3V C 、1.4V D 、1.8V 7、下列电路中不属于时序逻辑电路的是: (B ) A 、移位寄存器 B 、译码器 C 、随机存取存储器 D 、计数器 8、下列电路中无需外加触发信号就能自动产生方波信号的电路是: ( A ) A 、多谐振荡器 B 、单稳态触发器 C 、施密特触发器 D 、RS 触发器 9、下面对时序逻辑电路的描述不正确的是: (A ) A 、时序电路中任一时刻的输出信号仅取决于该时刻的输入信号。 B 、时序电路包含组合电路和存储电路两部分。 C 、时序电路中的存储电路是要记忆以前的状态,存储电路可由触发器组成。 D 、时序电路一般分为两大类:同步时序电路和异步时序电路

数字电子技术基础期末考试试卷及答案1[1]

数字电子技术基础试题(一) 填空题: (每空1数字电子技术基础试题(一) 一、分,共10分) 1.(30.25) 10 = ( ) 2 = ( ) 16 。 2 . 逻辑函数L = + A+ B+ C +D = 1 。 3 . 三态门输出的三种状态分别为:、和。 4 . 主从型JK触发器的特性方程= 。 5 . 用4个触发器可以存储位二进制数。 6 . 存储容量为4K×8位的RAM存储器,其地址线为12 条、数据线为 8 条。 二、选择题:(选择一个正确的答案填入括号内,每题3分,共30分) 1.设下图中所有触发器的初始状态皆为0,找出图中触发器在时钟信号作用下,输出电压波形恒为0的是:(C )图。

2.下列几种TTL电路中,输出端可实现线与功能的电路是(D)。 A、或非门 B、与非门 C、异或门 D、OC门 3.对CMOS与非门电路,其多余输入端正确的处理方法是(D )。 A、通过大电阻接地(>1.5KΩ) B、悬空 C、通过小电阻接地(<1KΩ) B、D、通过电阻接V CC 4.图2所示电路为由555定时器构成的(A )。 A、施密特触发器 B、多谐振荡器 C、单稳态触发器 D、T触发器 5.请判断以下哪个电路不是时序逻辑电路(C )。 A、计数器 B、寄存器 C、译码器 D、触发器 6.下列几种A/D转换器中,转换速度最快的是(A )。 A、并行A/D转换器 B、计数型A/D转换器 C、逐次渐进型A/D转换器 B、D、双积分A/D转换器 7.某电路的输入波形u I 和输出波形u O 如下图所示,则该电路为(C)。 A、施密特触发器 B、反相器 C、单稳态触发器 D、JK触发器 8.要将方波脉冲的周期扩展10倍,可采用(C )。

数字电子技术基础试题及答案

数字电子技术基础期末考试试卷 课程名称 数字电子技术基础 A 卷 考试形式 闭 卷 考核类型 考试 本试卷共 4 大题,卷面满分100分,答题时间120分钟。 一、填空题:(每小题2分,共10分) 1.二进制数(1011.1001)2转换为八进制数为 (13.41) ,转换为十六进为 B9 。 2.数字电路按照是否具有记忆功能通常可分为两类: 组合逻逻辑电路 、 时序逻辑电路 。 3.已知逻辑函数F =A ⊕B ,它的与非-与非表达式为 ,或与非表达式 为 。 4.5个变量可构成 32 个最小项,变量的每一种取值可使 1 个最小项的值为1。 5.555定时器构成的施密特触发器,若电源电压V CC =12V ,电压控制端经0.01μF 电容接地,则上触发电平U T+ = V ,下触发电平U T –= V 。 二、化简题:(每小题10分,共20分) 1.用代数法将下面的函数化为最简与或式:F=C ·[ABD BC BD A +++(B+C)D]

2. 用卡诺图法将下列函数化简为最简与或式: F(A 、B 、C 、D)=∑m (0,2,4,5,7,13)+∑d(8,9,10,11,14,15) 三、分析题:(每小题10分,共40分) 1.试分析题1图所示逻辑电路,写出逻辑表达式和真值表,表达式化简后再画出新的逻辑图。 题 1图 得分 评卷人

2.74161组成的电路如题 2 图所示,分析电路,并回答以下问题: (1)画出电路的状态转换图(Q 3Q 2Q 1Q 0); (2)说出电路的功能。(74161的功能见表) 题 2 图 …………………密……………………封…………………………装…………………订………………………线………………………

数字电子技术试卷和答案

数字电子技术试卷(1) 一.填空(16) 1.十进制数123的二进制数是 1111011 ;十六进制数是 7B 。 2.100001100001是8421BCD 码,其十进制为 861 。 3.逻辑代数的三种基本运算是 与 , 或 和 非 。 4.三态门的工作状态是 0 , 1 , 高阻 。 5.描述触发器逻辑功能的方法有 真值表,逻辑图,逻辑表达式,卡诺图,波形图 。 6.施密特触发器的主要应用是 波形的整形 。 7.设4位D/A 转换器的满度输出电压位30伏,则输入数字量为1010时的输出模拟电压为 。 8.实现A/D 转换的主要方法有 , , 。 二.判断题(10) 1.BCD 码即8421码 ( 错 ) 2.八位二进制数可以表示256种不同状态。 ( 对 ) 3.TTL 与非门与CMOS 与非门的逻辑功能不一样。 ( ) 4.多个三态门的输出端相连于一总线上,使用时须只让一个三态门传送信号,其他门处于高阻状态。 (对 ) 5.计数器可作分频器。 ( 对 ) 三.化简逻辑函数(14) 1.用公式法化简- - +++=A D DCE BD B A Y ,化为最简与或表达式。 解;D B A Y +=- 2.用卡诺图化简∑∑= m d D C B A Y ),,,,()+,,,, (84210107653),,,(,化为最简与或表达式。 四.电路如图1所示,要求写出输出函数表达式,并说出其逻辑功能。(15) 解;C B A Y ⊕⊕=, C B A AB C )(1++=,全加器,Y 为和,1C 为进位。 五.触发器电路如图2(a ),(b )所示,⑴写出触发器的次态方程; ⑵对应给定波形画出Q 端波形(设初态Q =0)(15) 解;(1)AQ Q Q n +=- +1 ,(2)、A Q n =+1 六.试用触发器和门电路设计一个同步的五进制计数器。(15) 七.用集成电路定时器555所构成的自激多谐振荡器电路如图3所示,试画出V O ,V C 的工作波形,并求出振荡频率。(15)

数字电子技术基础(1)

东 北 大 学 继 续 教 育 学 院 数字电子技术基础 I 试 卷(作业考核 线下) B 卷(共 4 页) 注:请您单面打印,使用黑色或蓝色笔,手写完成作业。杜绝打印,抄袭作业。 一、(12分)化简逻辑函数 1、(4分)用公式法化简逻辑函数AB ABC C AB F ?+=,并用最少量与非门实现该逻辑函数。 答案: 2、(8分)某电路的输入A 、B 、C 和输出F 的波形如图所示,请列出真值表、化简该逻辑 函数,并用最少量二输入与非门实现该电路。 二、(10分), 对CMOS 电路,图中 给予标注,未加标注的为TTL 电路。 答案:A F =1 12=F A F =3 AB F =4 B A F +=5 三、(12分)用4选1数据选择器设计一个奇校验电路,输入为3位二进制代码。当输入代码中有偶数个1时或者没有1时输出为0。要求列出真值表、写出逻辑函数表达式,画出逻辑图。4选1数据选择器的逻辑符号如图所示。 答案:真值表 ABC AB ABC ABC AB AB ABC AB C AB AB AB AB ABC C AB AB ABC C AB F =?+?=?++=?+?+=?+=)(

逻辑函数表达式为ABC C B A C B A C B A F +++= 四、(10分)8线-3线优先编码器 答案:74148和与非门构成了十线-五、(10A 、B 为输入端。要求写出S 1、S 0答案:由图可知: B A S S B A S S AB S B A S S AB S S A S S F 010*********+++++?= 由此知 六、(8分)试用图(a)路。要求将图(a)中的触发器和门电路连接成一个完整的电路。 Q Q CP F 图(a) CP Q F 图(b) 答案:由波形图看出触发器的状态在每个CP 下降沿翻转,将JK 触发器的输入端同时接1即可。CP Q CP Q F ⊕=⊕= 七、(6分)一个D 触发器转换成T 触发器的电路如图2所示,试确定图中虚框内逻辑门的 类型,简单说明理由。 图2 答案:逻辑门的类型是同或门。原因是n n n Q T Q T D Q ⊕=⊕==+1。 八、(6 分)画出图 (a)电路中触发器输出端的波形。输入信号A 、B 的波形如图 (b)所示。触 发器的初始状态Q=0。 CP 图(a ) 图(b ) A C F

数字电子技术基础试题及答案 (1)

. 数字电子技术基础期末考试试卷 一、填空题 1. 时序逻辑电路一般由 和 两分组成。 2. 十进制数(56)10转换为二进制数为 和十六进制数为 。 3. 串行进位加法器的缺点是 ,想速度高时应采用 加法器。 4. 多谐振荡器是一种波形 电路,它没有稳态,只有两个 。 5. 用6个D 触发器设计一个计数器,则该计数器的最大模值M= 。 二、化简、证明、分析综合题: 1.写出函数F (A,B,C,D) =A B C D E ++++的反函数。 2.证明逻辑函数式相等:()()BC D D B C AD B B D ++++=+ 3.已知逻辑函数F= ∑(3,5,8,9,10,12)+∑d(0,1,2) (1)化简该函数为最简与或式: (2)画出用两级与非门实现的最简与或式电路图: 4.555定时器构成的多谐振动器图1所示,已知R 1=1K Ω,R 2=8.2K Ω,C=0.1μF 。试求脉冲宽度 T ,振荡频率f 和占空比q 。 ………………………密……………………封…………………………装…………………订………………………线……………………… 系别 专业(班级) 姓名 学号

图1 5.某地址译码电路如图2所示,当输入地址变量A7-A0的状态分别为什么状态 时,1Y 、6Y 分别才为低电平(被译中)。 图2 6.触发器电路就输入信号的波形如图3所示,试分别写出D 触发器的Q 和Q1的表达式,并画出其波形。 图3 ………………封…………………………装…………………订………………………线………………………

D= Q n+1= Q1= 7. 已知电路如图4所示,试写出: ①驱动方程; ②状态方程; ③输出方程; ④状态表; ⑤电路功能。图4 三、设计题:(每10分,共20分) 1.设计一个三变量偶检验逻辑电路。当三变量A、B、C输入组合中的“1”的个数为偶数时F=1,否则F=0。选用8选1数选器或门电路实现该逻辑电路。要求: (1)列出该电路F(A,B,C)的真值表和表达式; (2)画出逻辑电路图。 2.试用74161、3-8译码器和少量门电路,实现图5所示波形VO1、VO2,其中CP为输入波形。要求: (1)列出计数器状态与V01、V02的真值表;

数字电子技术试卷及答案

一、单项选择题(每小题1分,共15分) 在下列每小题的四个备选答案中选出一个正确的答案,并将其字母标号填入题干的括号内。 1.一位十六进制数可以用多少位二进制数来表示( C ) A . 1 B . 2 C . 4 D . 16 2.以下电路中常用于总线应用的是( A ) 门 门 C. 漏极开路门 与非门 3.以下表达式中符合逻辑运算法则的是( D ) ·C =C 2 +1=10 C.0<1 +1=1 4.T 触发器的功能是( D ) A . 翻转、置“0” B. 保持、置“1” C. 置“1”、置“0” D. 翻转、保持 5. 存储8位二进制信息要多少个触发器(D ) .3 C 6.多谐振荡器可产生的波形是( B ) A.正弦波 B.矩形脉冲 C.三角波 D.锯齿波 7.一个16选一的数据选择器,其地址输入(选择控制输入)端的个 数是( C ) .2 C 8.引起组合逻辑电路中竟争与冒险的原因是( C ) A.逻辑关系错; B.干扰信号; C.电路延时; D.电源不稳定。 9.同步计数器和异步计数器比较,同步计数器的最显著优点是( A ) A.工作速度高 B.触发器利用率高 C.电路简单 D.不受时钟C P 控制 10.N 个触发器可以构成能寄存多少位二进制数码的寄存器( B ) +1 11.若用J K 触发器来实现特性方程AB Q A Q n 1n +=+,则J K 端的方程应为 ( B ) =A B ,K =B A =A B ,K =B A =B A +,K =A B =B A ,K =A B 12.一个无符号10位数字输入的D A C ,其输出电平的级数是( C )

完整版数字电子技术基础1

、单项选择题 1、将十进制数56转换成8421BCD 码应是: 2、使晶体三极管工作于饱和区的电压条件是: 系叫做: 6、TTL 门电路理论上的逻辑低电平为: 7、下列电路中不属于时序逻辑电路的是: &下列电路中无需外加触发信号就能自动产生方波信号的电路是: A 、多谐振荡器 B 、单稳态触发器 C 、施密特触发器 9、下面对时序逻辑电路的描述不正确的是: A 、时序电路中任一时刻的输出信号仅取决于该时刻的输入信号。 数字电子技术基础 模拟卷1 A 、(56) 10= ( 0011 1000 8421BCD B 、 (56) 10= ( 0011 1001) 8421BCD C 、(56) 10= ( 0101 1000) 8421BCD D 、 (56) 10= ( 0101 0110) 8421BCD A 、发射结正偏,集电结反偏 B 、发射结反偏,集电结反偏 C 、发射结正偏,集电结正偏 D 、发射结反偏,集电结正偏 3、只有当两个输入变量的取值相同时, 输出才为 1,否则输出为0,这种逻辑关 A 、同或 B 、与非 C 、异或 D 、或非 4、 在功能表中刈勺含义是: A 、表示高电平 B 、表示低电平 C 、咼低电平都不可以 D 、咼低电平都可以 5、 下列4个电路中能实现L AB 逻辑关系的是: >1 =1 >1 =1 D- A 、0V B 、0.3V C 、1.4V D 、1.8V A 、移位寄存器 B 、译码器 C 、随机存取存储器 计数器 RS 触发器

B 、时序电路包含组合电路和存储电路两部分。 C 、时序电路中的存储电路是要记忆以前的状态, 存储电路可由触发器组成。 D 、时序电路一般分为两大类:同步时序电路和异步时序电路 10、已知静态RAM2114的存储容量为1K X 4位,若要扩展存储容量为 需要几片2114 D 、16 片 12、5G7520为10位集成数模转换器,设参考电压 V REF =10V ,R F =R , 、多项选择题(在每小题的四个备选答案中,选出至少两个正确答案,并将其 2、描述触发器逻辑功能的方法有: 3、比较下列几个数的大小,正确的结果是: D 、(2A ) 16 >( 101101) 2 4、在下式中选出正确的逻辑代数公式: 4KX8 位, 11、已知逻辑函数 L A B D ,则其反函数F 为: A 、A BCD B 、A BCD C 、A BCD D 、 A BCD 当输入全 1时,输出电压的绝对值为: 10V C 10V ——255 B 、—— 1 256 1024 B 、 C 、 10V ——1023 1024 D 、 10V —— 1 256 号码分别填在题干的括号内。多选、少选、 错选均无分。) 1、逻辑函数L (AB AB)C 中,变量A 、 B 、C 取哪些值时, L 的值为1。 A 、ABC 取 011 B 、AB C 取 101 C 、ABC 取 000 ABC 取 111 A 、功能表 B 、特征方程 C 、状态转换图 D 、驱动表 A 、(46) 8>( 39) 10 B 、(2A ) 16>( 39) 10 C 、(101101) 2>( 39) 10

数字电子技术试题及答案05

试卷五 一、填空题(20分) 1.数字信号只有 和 两种取值。 2.十进制123的二进制数是 ;八进制数是 ;十六进制数是 。 3.设同或门的输入信号为A 和B ,输出函数为F 。 若令B=0,则F= 若令B=1,则F= 4.三态门的输出有 、 、 三种状态。 5.设JK 触发器的起始状态Q=1 若令J=1,K=0,则=+1n Q 。 若令J=1,K=1,则=-1n Q 。 6.BCD 七段翻译码器输入的是 位 码,输出有 个。 7.一个N 进制计数器也可以称为 分频器。 8.有一个6位D/A 转换器,设满度输出为6.3V ,输入数字量为110111,则输出模拟电压为 。 9.设ROM 容量为256字×8位,则它应设置地址线 条,输出线 条。 10.用256字×4位RAM ,扩展容量为1024字×8位RAM ,则需要 片。 二 、选择题(20分) 1. 离散的,不连续的信号,称为( ) A 、模拟信号 B 、数字信号 2. 组合逻辑电路通常由( )组合而成。 A 、门电路 B 、触发器 C 、计数器 3. 十六路数据选择器的地址输入(选择控制)端有( )个 A 、16 B 、2 C 、4 D 、8 4. 一位8421BCD 码译码器的数据输入线与译码输出线的组合是( ) A 、4:6 B 、1:10 C 、4:10 D 、2:4 5. 能实现脉冲延时的电路是( ) A 、多谐振荡器 B 、单稳态触发器 C 、施密特触发器 6.8线—3线优先编码器的输入为70I I - ,当优先级别最高的7I 有效时,其 输出012Y Y Y 的值是( ) A 、111 B 、010 C 、000 D 、101 7.JK 触发器在CP 作用下,若状态必须发生翻转,则应使( ) A 、J=K=0 B 、J=K=1 C 、J=O ,K=1 8.有一个左移位寄存器,当预先置入1011后,其串行固定接0,在4个移位脉冲CP 作用下,四位数据的移位过程是( ) A 、1011—0110—1100—1000—0000 B 、1011—0101—0010—0001—0000 9.有一位二进制数码需要暂时存放起来,应选用( )

数字电子技术基础1

数字电子技术基础模拟卷1 、单项选择题 1、将十进制数56转换成8421BCD 码应是: A 、(56) 10= (0011 1000 8421BCD B 、(56) 10= (0011 1001) C 、(56) 10= (0101 100C ) 8421BC D D 、(56) 10= (0101 0110) 2、使晶体三极管工作于饱和区的电压条件是: A 、发射结正偏,集电结反偏 B 、发射结反偏,集电结反偏 C 、发射结正偏,集电结正偏 D 、发射结反偏,集电结正偏 3、只有当两个输入变量的取值相同时, 输出才为 1,否则输出为0,这种逻辑关 系叫做: 4、在功能表中 >的含义是: A 、表示咼电平 5、下列4个电路中能实现L AB 逻辑关系的是: 6、TTL 门电路理论上的逻辑低电平为: 7、下列电路中不属于时序逻辑电路的是: F 列电路中无需外加触发信号就能自动产生方波信号的电路是: A 、多谐振荡器 B 、单稳态触发器 C 、施密特触发器 9、下面 对时序逻辑电路的描述不正确的是: A 、时序电路中任一时刻的输出信号仅取决于该时刻的输入信号。 8421BCD 8421BCD A 、同或 B 、与非 C 、异或 D 、或非 B 、表示低电平 C 、高低电平都不可以 D 、高低电平都可以 =1 >1 A 、0V B 、0.3V C 、1.4V D 、1.8V A 、移位寄存器 B 、译码器 C 、随机存取存储器 计数器 RS 触发器 L A A B B A B

B 、 时序电路包含组合电路和存储电路两部分。 C 、 时序电路中的存储电路是要记忆以前的状态, 存储电路可由触发器组成 D 、 时序电路一般分为两大类:同步时序电路和异步时序电路 10、已知静态RAM2114的存储容量为1K X 4位,若要扩展存储容量为4KX8位, 需要几片2114 () A 、4片 B 、2片 C 、8片 D 、16 片 11、已知逻辑函数L ABC D ,则其反函数F 为: () A 、A BCD B 、A BCD C 、A BCD D 、A BCD 12、5G7520为10位集成数模转换器,设参考电压 V REF =10V , R F =R ,当输入全 1时,输出电压的绝对值为: () 二、多项选择题(在每小题的四个备选答案中,选出至少两个正确答案,并将其 号码分别填在题干的括号内。多选、少选、错选均无分。) 1、 逻辑函数L (AB AB)C 中,变量A 、B 、C 取哪些值时,L 的值为1。 ()()()() A 、ABC 取 011 B 、AB C 取 101 C 、ABC 取 000 D 、ABC 取 111 2、 描述触发器逻辑功能的方法有: ()()()() A 、功能表 B 、特征方程 C 、状态转换图 D 、驱动表 3、 比较下列几个数的大小,正确的结果是: ()()()() A 、(46) 8>( 39) 10 B 、(2A ) 16>( 39) 10 C 、(101101) 2>( 39) 10 D 、(2A ) 16 >( 101101) 2 4、 在下式中选出正确的逻辑代数公式: 10V 256 255 B 、 10V 1 1024 C 、 10V 1023 1024 D 、 10V 256

数电期末练习题

第一章数制与码制 一、单项选择题: 1. 十进制数32转换为二进制数为(C)A、1000 B、10000 C、100000 D、1000000 2. 二进制数转换为十六进制数为(D )A、FE1H B、FC2H C、7D1H D、7E1H 3. 十进制数36转换为8421BCD码为(C)A、00100100 B、00110100 C、00110110 D、 4. 一位十六进制数可以用(C )位二进制数来表示。A、1B、2C、4D、16 5. 十进制数25用8421BCD码表示为(B )。A、10 101 B、0010 0101 C、100101 D、10101 6.十进制数35转换为8421BCD码为(B )A、00100100 B、00110101 C、00100011 D、00110110 7.三位二进制数码可以表示的状态是( D )。A、2 B、4 C、6 D、8 8.十进制数25转换为二进制数为( D )。A、110001 B、10111 C、10011 D、11001 9.BCD代码为()表示的数为(594)10,则该BCD代码为()。 A、8421BCD码 B、余3 BCD码 C、5421BCD码 D、2421BCD码(C) 10.与二进制数00100011相应的十进制数是( B )。A、35 B、19 C、23 D、67 11. 是8421BCD码的是( B )。A、1010B、0101 C、1100 D、1101 12. 二进制数1101转换为十进制数为(D )A、10 B、11 C、12D、13 13. 比较数的大小,最大数为( C )A、(1 B、(51)10C、(34)16 =(52) 10 D、(43)8 14.把二进制数转换成十进制数为(A )A、150 B、96 C、82 D、159 15. 将十六进制数4FB转换为二进制数等于( C ) A、0B B、0B C、0 D、 16. 将数转换为十六进制数为( A )A、 B 、C、 D 2 17. 将十进制数130转换为对应的八进制数:( ) A、202 B、82 C、120 D、230 18. 二进制整数最低位的权是(c )A、0 B、2 C、02D、4 19. n位二进制整数,最高位的权是()A、n2B、1n2-C、1n2+D、2n2+ 20. 下列四个数中最大的数是( ) A、(AF)16 B、(0010)8421BCD C、()2 D、(198)10 21. 将代码()8421BCD转换成二进制数为(b) A、(01000011)2 B、(01010011)2 C、()2 D、(0001)2 22. 十进制数4用8421BCD码表示为:()A、100 B、0100 C、0011 D、11 23. 下列不同进位制中最大的是() A、(76)8 B、(1100101)2 C、(76)10 D、(76)16 24. 用8421码表示的十进制数45,可以写成() A、45 B、[101101]BCD C、[01000101]BCD D、[101101]2 25. 下列属于8421BCD码的是()A、1011B、1111C、0111D、1100 26. 下列不属于8421BCD码的是()A、0101B、1000C、0111D、1100 27. 下列四个数中最大的数是( )

数字电子技术基础试卷及答案

数字电子技术基础试题(一) 一、填空题 : (每空1分,共10分) 1. (30.25) 10 = ( ) 2 = ( ) 16 。 2 . 逻辑函数L = + A+ B+ C +D = 。 3 . 三态门输出的三种状态分别为:、和。 4 . 主从型JK触发器的特性方程= 。 5 . 用4个触发器可以存储位二进制数。 6 . 存储容量为4K×8位的RAM存储器,其地址线为条、数据线为条。 二、选择题: (选择一个正确的答案填入括号内,每题3分,共30分 ) 1.设下图中所有触发器的初始状态皆为0,找出图中触发器在时钟信号作用下,输出电压波形恒为0的是:()图。 2.下列几种TTL电路中,输出端可实现线与功能的电路是()。 A、或非门 B、与非门 C、异或门 D、OC门 3.对CMOS与非门电路,其多余输入端正确的处理方法是()。

A、通过大电阻接地(>1.5KΩ) B、悬空 C、通过小电阻接地(<1KΩ) D、通过电阻接V CC 4.图2所示电路为由555定时器构成的()。 A、施密特触发器 B、多谐振荡器 C、单稳态触发器 D、T触发器 5.请判断以下哪个电路不是时序逻辑电路()。 A、计数器 B、寄存器 C、译码器 D、触发器 6.下列几种A/D转换器中,转换速度最快的是()。 A、并行A/D转换器 B、计数型A/D转换器 C、逐次渐进型A/D转换器 B、 D、双积分A/D转换器 7.某电路的输入波形 u I 和输出波形 u O 如下图所示,则该电路为()。 A、施密特触发器 B、反相器 C、单稳态触发器 D、JK触发器 8.要将方波脉冲的周期扩展10倍,可采用()。 A、10级施密特触发器 B、10位二进制计数器 C、十进制计数器 B、D、10位D/A转换器 9、已知逻辑函数与其相等的函数为()。 A、 B、 C、 D、 10、一个数据选择器的地址输入端有3个时,最多可以有()个数据信号输出。 A、4 B、6 C、8 D、16 三、逻辑函数化简(每题5分,共10分) 1、用代数法化简为最简与或式

数字电子技术试题(含答案)

系:_____________ 专业:_______________ 班级:_________ 准考证号: 姓名:_____________ 期 末 考 试 试 题 (卷) 密 封 线 密 封 线 以 内 不 准 作 任 何 标 记 密 封 线

8、要使JK 触发器处于计数状态,则必须使( ) A.J=K=1 B.J=K=0 C.J=0,K=1 D.J=1,K=0 9、下列触发器中没有计数功能的是( ) A.RS 触发器 B.T 触发器 C.JK 触发器 D.T ˊ触发器 10、组合电路中的冒险,偏“0”冒险Y = ( ) 二、填空题:(请在答题纸答题)(每空2分,共30分) 1、逻辑函数的表示方法有___________、___________、___________、___________、___________五种形式。 2、组合电路中的冒险,偏“1”冒险Y = ___________,偏“0”冒险Y = ___________。 3、不仅考虑两个____________相加,而且还考虑来自__________相加的运算电路,称为全加器。 4、一个4选1的数据选择器,应具有_____个地址输入端______个数据输入端。 5、时序逻辑电路的输出不仅和_________有关,而且还与_____________有关。 6、计数器按CP 脉冲的输入方式可分为___________和___________。 三、化简题(请在答题纸答题)(每小题5分,共10分) 1、用公式证明等式,()()AB AB A B A B +=++ 2、用卡诺图化简函数为最简单的与或式(画图)。 (0,1,3,4,5,7,)Y m =∑ 四、根据已知条件,画出输出波形(请在答题纸答题)(每题10分,共20分) 1、已知逻辑门与输入波形,作出Y 的波形 Y A

数字电子技术试卷及答案(免费版)[1]

第1页(共8页) 第2页(共8页) 《数字电子技术》试卷 姓名:__ _______ 班级:__________ 考号:___________ 成绩:____________ 本试卷共 6 页,满分100 分;考试时间:90 分钟;考试方式:闭卷 1. 有一数码10010011,作为自然二进制数时,它相当于十进制数( ),作为8421BCD 码 时,它相当于十进制数( )。 2.三态门电路的输出有高电平、低电平和( )3种状态。 3.TTL 与非门多余的输入端应接( )。 4.TTL 集成JK 触发器正常工作时,其d R 和d S 端应接( )电平。 5. 已知某函数??? ??+??? ??++=D C AB D C A B F ,该函数的反函数F =( )。 6. 如果对键盘上108个符号进行二进制编码,则至少要( )位二进制数码。 7. 典型的TTL 与非门电路使用的电路为电源电压为( )V ,其输出高电平为( )V ,输出低电平为( )V , CMOS 电路的电源电压为( ) V 。 8.74LS138是3线—8线译码器,译码为输出低电平有效,若输入为A 2A 1A 0=110时,输出 01234567Y Y Y Y Y Y Y Y 应为( ) 。 9.将一个包含有32768个基本存储单元的存储电路设计16位为一个字节的ROM 。该ROM 有( )根地址线,有( )根数据读出线。 10. 两片中规模集成电路10进制计数器串联后,最大计数容量为( )位。 11. );Y 3 =( )。 12. 某计数器的输出波形如图1所示,该计数器是( )进制计数器。 13.驱动共阳极七段数码管的译码器的输出电平为( )有效。 二、单项选择题(本大题共15小题,每小题2分,共30分) (在每小题列出的四个备选项中只有一个是最符合题目要求的,请将其代码填写在题后的括号内。错选、多选或未选均无分。) 1. 函数F(A,B,C)=AB+BC+AC 的最小项表达式为( ) 。 A .F(A,B,C)=∑m (0,2,4) B. (A,B,C)=∑m (3,5,6,7) C .F(A,B,C)=∑m (0,2,3,4) D. F(A,B,C)=∑m (2,4,6,7) 2.8线—3线优先编码器的输入为I 0—I 7 ,当优先级别最高的I 7有效时,其输出012Y Y Y ??的值 是( )。 A .111 B. 010 C. 000 D. 101 3.十六路数据选择器的地址输入(选择控制)端有( )个。 A .16 B.2 C.4 D.8 4. 有一个左移移位寄存器,当预先置入1011后,其串行输入固定接0,在4个移位脉冲CP 作用下,四位数据的移位过程是( )。 A. 1011--0110--1100--1000--0000 B. 1011--0101--0010--0001--0000 C. 1011--1100--1101--1110--1111 D. 1011--1010--1001--1000--0111 5.已知74LS138译码器的输入三个使能端(E 1=1, E 2A = E 2B =0)时,地址码A 2A 1A 0=011,则输出 Y 7 ~Y 0是( ) 。 A. 11111101 B. 10111111 C. 11110111 D. 11111111 6. 一只四输入端或非门,使其输出为1的输入变量取值组合有( )种。 A .15 B .8 C .7 D .1 7. 随机存取存储器具有( )功能。 A.读/写 B.无读/写 C.只读 D.只写 8.N 个触发器可以构成最大计数长度(进制数)为( )的计数器。 A.N B.2N C.N 2 D.2N 9.某计数器的状态转换图如下, 其计数的容量为( ) A . 八 B. 五 C. 四 D. 三

数字电子技术-4套期末试卷-含答案

《数字电子技术基础》(第一套) 一、填空题:(每空1分,共15分) 1.逻辑函数Y AB C =+的两种标准形式分别为()、()。 2.将2004个“1”异或起来得到的结果是()。 3.半导体存储器的结构主要包含三个部分,分别是()、()、()。 4.8位D/A转换器当输入数字量10000000为5v。若只有最低位为高电平,则输出电压为()v;当输入为10001000,则输出电压为()v。 5.就逐次逼近型和双积分型两种A/D转换器而言,()的抗干扰能力强,()的转换速度快。6.由555定时器构成的三种电路中,()和()是脉冲的整形电路。 7.与PAL相比,GAL器件有可编程的输出结构,它是通过对()进行编程设定其()的工作模式来实现的,而且由于采用了()的工艺结构,可以重复编程,使它的通用性很好,使用更为方便灵活。 二、根据要求作题:(共15分) 1.将逻辑函数P=AB+AC写成“与或非”表达式,并用“集电极开路与非门”来实现。 2.图1、2中电路均由CMOS门电路构成,写出P、Q 的表达式,并画出对应A、B、C的P、Q波形。 三、分析图3所示电路:(10分) 1)试写出8选1数据选择器的输出函数式; 2)画出A2、A1、A0从000~111连续变化时,Y的波形图; 3)说明电路的逻辑功能。

四、设计“一位十进制数”的四舍五入电路(采用8421BCD码)。要求只设定一个输出,并画出用最少“与非门”实现的逻辑电路图。(15分) 五、已知电路及CP、A的波形如图4(a) (b)所示,设触发器的初态均为“0”,试画出输出端B和C的波形。(8分) B C 六、用T触发器和异或门构成的某种电路如图5(a)所示,在示波器上观察到波形如图5(b)所示。试问该电路是如何连接的?请在原图上画出正确的连接图,并标明T的取值。 (6分)

相关文档
相关文档 最新文档