文档库 最新最全的文档下载
当前位置:文档库 › 基于EDA技术的电梯控制系统设计_毕业设计论文

基于EDA技术的电梯控制系统设计_毕业设计论文

基于EDA技术的电梯控制系统设计_毕业设计论文
基于EDA技术的电梯控制系统设计_毕业设计论文

本科生毕业论文(设计)

基于EDA技术的电梯控制器设计

院系:信息工程学院

专业:电子信息工程

目录

中文摘要 (3)

外文摘要 (4)

引言 (5)

1. 绪论 (6)

1.1EDA技术 (6)

1.1.1 EDA的介绍与发展 (6)

1.1.2 EDA的设计流程及优点 (6)

1.1.3 VHDL介绍 (6)

1.2国内外研究现状及发展趋势 (7)

1.2.1 电梯智能化及其实现 (7)

1.2.2 接口层的实现 (8)

2. 四层电梯控制器的系统设计 (9)

2.1四层电梯控制器的功能及设计要求 (9)

2.2四层电梯控制器的设计思路 (10)

2.2.1 电梯控制器流程 (10)

2.3电梯控制器的功能 (12)

2.4电梯系统的设计 (13)

3.四层电梯控制器的调试及仿真 (14)

3.1MAX+PLUSⅡ的流程介绍 (14)

3.2各模块的VHDL语言 (14)

3.2.1 主控制器模块 (14)

3.2.2 数据选择器模块设计 (16)

3.2.3 译码器模块 (18)

3.2.4 分频器模块 (19)

4. 四层电梯控制器的波形仿真 (19)

结束语 (23)

参考文献 (24)

致谢 (25)

基于EDA技术的电梯控制器设计

*****

指导老师:*****

(*****)

摘要:电梯作为现代化的产物,早在上个世纪就进入了我们的生活之中。对于电梯的控制,传统的使用继电器-接触器系统进行控制已不能满足人们的要求。随着EDA技术的发展FPGA已经广泛运用于电子设计控制的各个方面。本设计是基于VHDL语言开发的四层电梯控制器。以Max+PlusⅡ为开发环境。其功能包括:显示电梯当前所在楼层、显示有请求发生的楼层、相应楼层请求、关门延时控制、电梯开关门显示。

关键字:电梯控制器;EDA;VHDL状态机

The Elevator Controller Based on VHDL

*****

Director:*****

(Information Engineering College, *****)

Abstract:The lift, as the modernized result, is entered our life in last century. For the elevator

control, the traditional approach is to use relay-contactor control system to control. With the development of EDA technology, FPGA has been widely use in all aspects of electronic design control. The graduation project is best on the VHDL language development of four elevator control. To Max+PlusⅡ development. Its features include: show floor where the lift current, show that the request happened floors, floor to respond to the request, closing delay setting, elevate door

open display.

Key Words:Elevator controller;EDA;VHDL condition machine

引言

在现代化城市的高速发展中,一幢幢高楼拔地而起。电梯是楼房里上下运送乘客或货物的垂直运输设备。我国电梯行业的发展历程,从改革开放到今天,电梯行业在不知不觉中走过了一个从无到有,从有到多,从多到精的发展历程。随着住宅市场的巨大变化,中国已经成为全球容量最大、增长最快的电梯市场。目前,我国电梯保有量已超过100万台,且保持每年20%的递增速度,市场前景乐观。这些电梯服务于写字间、公寓、商场等各种场所。但是电梯行业业内都知道,电梯的核心部件是电梯控制。该部件的好坏不仅影响电梯的运行质量,还会影响电梯的安全性和可靠性能。上世纪80年代,国内电梯行业基本应用传统的继电器控制方式,电梯故障率很高。改革开放以来,国外的电梯公司纷纷登陆中国市场,在国内建立了一批有规模的合资企业,他们带来的技术比较先进。但这些外国公司对电梯控制系统的技术控制非常严格,国内的中小型企业根本没有能力与他们竞争。总的来说,我国电梯市场潜力很大,但是国产电梯市场占有率不容乐观,中国电梯企业有待发展。

在现代化城市的高速发展中,一幢幢高楼拔地而起。电梯是楼房里上下运送乘客或货物的垂直运输设备。我国电梯行业的发展历程,从改革开放到今天,电梯行业在不知不觉中走过了一个从无到有,从有到多,从多到精的发展历程。随着住宅市场的巨大变化,中国已经成为全球容量最大、增长最快的电梯市场。目前,我国电梯保有量已超过100万台,且保持每年20%的递增速度,市场前景乐观。这些电梯服务于写字间、公寓、商场等各种场所。

自1889美国的奥的斯升降机公司推出了世界第一部以直流电动机为动力诞生名副其实的电梯,从而彻底改写了人类使用升降工具的历史。上世纪90年代,随的升降机着世界经济快速发展及经济全球化, 发达的工业化国家纷纷研制出高速及超高速电梯,电梯不仅是代步的工具,也是人类文明的标志,其技术的发展正体现了社会的进步与文明。随着电梯技术的发展,绿色化、低能耗、智能化、网络化、蓝牙技术的电梯成为一段时间内的发展趋势。

1. 绪论

1.1 EDA技术

1.1.1 EDA的介绍与发展

EDA是Electronic Design Automation(电子设计自动化)的缩写,EDA技术是20世纪90年代初以来迅速发展起来的现代电子工程领域的一门新技术[1]。它以可编程逻辑器件(PLD)为载体,以计算机为工作平台,以EDA工具软件为开发环境,以硬件描述语言(HDL)作为电子系统功能描述方式,以电子系统设计为应用方向的电子产品自动化设计过程。当今以数字化和网络化为特征的信息技术革命大潮中,电子技术获得了飞速发展,现代电子产品渗透到了社会的各个领域。现代电子产品的性能进一步提高,功能越来越复杂,集成化智能化程度越来越高,更新换代的节奏越来越快,开发风险也越来越大,而且正向着功能多样化,体积小型化,功耗最低化的趋势发展。所有这些,都给电子系统设计师们带来了前所未有的压力,面对这种压力,唯一的出路是熟练掌握EDA技术,并获得其的有力支持。EDA技术的出现,极大的提高了电路设计的效率和可靠性,减轻了设计者的劳动强度,EDA是电子产品开发研制的动力源和加速器,是现代电子设计的核心。随着基于PLD的EDA技术的发展和应用领域的扩大和深入,它在电子信息,通信工程,自动控制及计算机应用领域的重要性日益突出。

1.1.2 EDA的设计流程及优点

EDA的设计流程如下:

(1)根据设计题目要求编写相应程序代码

(2)对编写的VHDL程序代码进行编译和仿真

(3)利用实验箱完成硬件验证

(4)总结设计内容,完成课程设计说明书

EDA技术与传统的电子设计相比,传统的电子设计方法是一种自底向上且费时费力的设计方法,而现代电子设计技术(EDA)是自顶向下且先进高效的。在电子产品的设计理念、设计方式、系统硬件构成、设计的重用性、知识产权、设计周期等方面,EDA技术具有一定的优势。

1.1.3 VHDL介绍

VHDL语言是一种用于电路设计的高级语言。它在80年代的后期出现。最初是由美国国防部开发出来供美军用来提高设计的可靠性和缩减开发周期的一种使用范围较小的设计语言。VHDL的英文全写是:VHSIC(Very High Speed

Integrated Circuit)Hardw语言。因此它的应用主要是应用在数字电路的设计中。目前,它在中国的应用多数是用在FPGA/CPLD/EPLD的设计中。当然在一些实力较为雄厚的单位,它也被用来设计ASIC。

但是,由于它在一定程度上满足了当时的设计需求,于是他在1987年成为ANSI/IEEE的标准(IEEE STD 1076-1987)[2]。1993年更进一步修订,变得更加完备,成为ANSI/IEEE的Aare Descriptiong Language.翻译成中文就是超高速集成电路硬件描述NSI/IEEE STD 1076-1993标准。目前,大多数的CAD厂商出品的EDA软件都兼容了这种标准。在Max+PlusⅡ软件平台上有很多种输入方式,特别介绍VHDL是因为用VHDL语言进行数字逻辑电路和数字系统的设计,是电子电路设计方法上的一次革命性变革。与传统设计方法相比,VHDL描述电路行为的算法有很多优点:

(1)设计层次较高、用于较复杂的计算时,能早发现存在的问题,缩短设计周期。

(2)独立实现,修改方便,系统硬件描述能力强。

(3)可读性好,有利于交流,适合于文档保存。

(4)VHDL语言标准、规范、移植性强。

(5)VHDL类型多而且支持用户自定义类型,支持自顶而下的设计方法和多种电

路的设计。

1.2国内外研究现状及发展趋势

1.2.1 电梯智能化及其实现

随着EDA技术的深入发展和EDA技术软硬件性能价格比的不断提高,EDA技术的应用将向广度和深度两个方面发展。根据利用EDA技术所开发的产品的最终主要硬件构成来分,作者认为,EDA技术的应用发展将表现为如下几种形式:

(1) CPLD/FPGA系统:使用EDA技术开发CPLD/FPGA,使自行开发的

CPLD/FPGA作为电子系统、控制系统、信息处理系统的主体。

(2) “CPLD/FPGA+MCU”系统:使用EDA技术与单片机相接结合,使自行开

发的CPLD/FPGA+MCU作为电子系统、控制系统、信息处理系统的主

体。

(3) “CPLD/FPGA+专用DSP处理器”系统:将EDA技术与DSP专用处理器

配合使用,使自行开发的“CPLD/FPGA+专用DSP处理器”,构成一个数

字信号处理系统的整体。

(4) 基于FPGA实现的现代DSP系统:基于SOPC (a System on a Programmable

Chip)技术、EDA技术与FPGA技术实现方式的现代DSP系统。

(5) 基于FPGA实现的SOC片上系统:使用超大规模的FPGA实现的,内含

1个或数个嵌入式CPU或DSP,能够实现复杂系统功能的单一芯片系统。

基于FPGA实现的嵌入式系统:使用CPLD/FPGA实现的,内含嵌入式处理器,能满足对象系统要求实现特定功能的,能够嵌入到宿主系统的专用计算机应用系统。

电梯行业内都知道,电梯的核心部件是电梯控制,该部件的好坏不仅影响电梯的运行质量,还会影响电梯的安全性和可靠性能。对于电梯控制系统来说,智能化的发展将是电梯行业未来发展的必经之路。将人工智能应用到电梯控制领域是从上世纪80年代开始的,那时人工智能蓬勃发展,专家系统、神经网络、模糊控制等许多最新的人工智能成果都被应用到电梯群控的派梯算法上,但这些具有智能化派梯策略的电梯在中国的使用却很晚,使用量并不是很大。这是由多种原因造成的。智能化电梯群控系统可以大大提高电梯群的派梯效率,减少乘客的候梯时间,降低电梯的能耗。

对电梯的原有机械电梯部分基本不作改动,包括提升部分、厅门控制部分等等,只是在原有电梯控制系统之上增加一层接口层,在接口层实现对电梯各种信号的采集和通过电梯的原有外部输入接口实现对电梯控制信号的输入,在接口层之上实现电梯群的智能化群控。

1.2.2接口层的实现

电梯智能化改造的实现与原有电梯的接口部分很重要。与原有系统的接口包括两个部分,一是电梯的状态的实时采集,二是控制信号的回送。始终强调电梯的改造最重要的是不可以降低原有系统的安全性。如果原有电梯控制系统仍能满足需要,应尽量减少对原有电梯控制系统的改变,同时又要能够及时得到电梯的状态变化,并实现对电梯的调度[3]。

接口层的实现采用分布式控制技术,在每—台电梯的每一楼层的外招板上加装一块外招接口板,在内招板上加装一块内招接口板,在电梯控制柜中加装一块梯态接口板,这些接口板上都有一个独立的CPU控制着各自的信号采集、发送、接收、转发,它们通过一条公用总线与信号采集主机相连,信号采集主机负责电梯所有信号的收集和转发,负责将收集到的电梯信号送达上层的群控主机和实时监控主机,并转发群控主机和监控主机发出的控制信号。通过各楼层加装的外招接口板采集所有外招信号,电梯内加装的内招接口板采集电梯的内招信号,电梯的控制柜中加装的电梯状态接口板采集电梯的目前状态:如口前楼层、运行方向、电梯门状态、电梯停止等信号,通过串行总线送往群控主机,群控主机根据采集到的信号作派梯选泽,将派梯信号回送到外招接口板,由外招接口板将信号发送给电梯的外招板,完成派梯。

2. 四层电梯控制器的系统设计

电梯的微机化控制主要有以下几种形式:1 PLC控制;2单板机控制;3单片机控制;4单微机控制;5多微机控制;6 人工智能控制;7EDA技术。随着EDA技术的快速发展,CPLD/FPGA已广泛应用于电子设计与控制的各个方面。但是本设计屏弃以前老式的采用PLC设计电梯控制器,而是使用EDA技术来实现对电梯的控制的。

2.1四层电梯控制器的功能及设计要求

电梯控制器是控制电梯按照顾客要求自动上下的装置[4]。四层电梯控制器的功能如下:

(1) 每层电梯入口处设上下请求开关,电梯内设顾客到达层次的停站请求开关。

(2) 设有电梯入口处位置指示装置及电梯运行模式(上升或下降)指示装置。

(3) 电梯每秒上升(下降)一层楼。

(4) 电梯到达有停站请求的楼层,经过1秒电梯门打开,开门指示灯亮,开门

4秒后,电梯门关闭(开门指示灯灭),电梯继续进行,直至执行完最后一个请求信号停留在当前层。

(5) 能记忆电梯内外所有请求,并按照电梯运行规则按顺序响应,每个请求信

号保留至执行后消除。

(6) 电梯运行规则—当电梯处于上升模式时,只响应比电梯所在位置的上楼请

求信号,由下而上逐个执行,直到最后一个上楼请求执行完毕;如果高层有下楼请求,则直接升到由下楼请求的最高楼层,然后进入下降模式。当电梯处于下降模式则与上升模式相反。

(7) 电梯初始状态为一层开门状态。

采用状态机来实现电梯控制器,思路比较清晰,通过一个统一的1秒为周期的时钟来触发状态机。根据电梯的实际工作情况,可以把状态机设置10个状态,分别是“电梯停留在1层”、“开门”、“关门”、“开门等待第1秒”、“开门等待第二秒”、“开门等待第三秒”、“开门等待第四秒”、“上升”、“下降”和“停止”状态。各个状态之间的转换条件可由上面的设计要求所决定。

2.2四层电梯控制器的设计思路

2.2.1电梯控制器流程

外部按键设备

请求信号寄存器

状态寄存器

内部软件执行器

外部硬件执行器

图2-1总流程图

当外部按键设备接受到上升、下降和停止请求时,由请求信号寄存器存储。数据选择器对按键请求进行选择,并将选择的结果发送到状态寄存器中。主控制器中的软件执行器响应按键请求并发送控制请求给外部硬件,要求其执行按键请求。

主控制器对当前电梯状态判断,显示当前所在楼层并清平层信号。当外部键发出上升或下降请求时,响应外部请求并显示上升或下降。到达请求楼层后消该层信号之后主控制器判断有无同相选层信号如果有则消除同相选层信号,之后扫描电梯位置判断目的层是否到达进行上行控制后下行控制。其过程如下图2-2所示。

Y Y N

图2-2 电梯运行流程图

Y

停车 初始化 清平层信号

停车 消同向选层信号 消该层信号 显示 上下行判断 扫描电梯位置

有无平层信号

目的层到否

下行控制 上行控制 返回

上/下运行

等待请求 目的层到否 有无同向选层信号 有无同相选层信号 N

N

图2-3和图2-4表示当分别接收到上升请求和下降请求时主控制器对外部的

响应过程。

本论文设计的电梯控制系统的流程图主要有:总流程图[5],电梯运行流程图,

上升模式流程图,下降模式流程图和停车门控制流程图,其中总流程图指出了整

个电梯系统各个部分的联系,但是本设计的主要部分为电梯的控制系统,所以对

这一部分不做过多介绍;电梯的运行流程图则明确的指出了控制系统根据电梯当

前状态和外部请求信号做出相应的控制,例如:当电梯在二层楼的时候,接收到

第四层楼的下降请求和第三层楼的上升请求,则电梯会先响应第三层楼的请求,

当到达第三层楼后,在消除这一信号,接着响应第四层楼的请求;而电梯的上升

和下降模式流程图则更加具体的指出了电梯在什么情况下上升,在什么情况下下

降,上升过程中和下降过程中的一些具体情况;门制系统也是很重要的一部分,

它形象的表达了电梯在到达所指定的楼层后所做出的反应及其所等待时间。总

之,这五个流程图将电梯控制系统具体化,有利于后面的设计。

2.3 电梯控制器的功能

N Y

N

Y N N

Y Y N

初始化 一层开门等待 下降模式 上升请求 到最高层 一层开门等待

Y 上升 N 下降请求

到最低层

到目的层

扫描当前层

下降 停车 上升模式 图2-3 上升模式图2-4 下降模式

Y

到目的层

(1) 主控制器的功能

(a) 完成4个楼层多用户的载客服务控制。

(b) 电梯运行时显示电梯的运行方向和所在的楼层。

(c) 当电梯到达选择的楼层时,电梯自动开门。

(d) 具有提前关电梯门和延时关电梯门的功能。

(e) 响应分控制器的有效请求,如果到达有请求的楼层,电梯自动开门。

(2) 分控制器的功能是显示电梯的运行状态和所在的楼层,和显示乘客的上

升和下降请求。

(3) 分控制器的有效请求原则:

( a) 电梯处于等待状态时,上升和下降请求都响应。

(b) 电梯处于上升状态时,有上升请求的分控制器所在楼层数大于电梯所

在的楼层数。

(c) 电梯处于下降状态时,有下降请求的分控制器所在楼层数小于电梯所在

的楼层数。

2.4电梯系统的设计

控制器的功能模块如图2-6所示,包括主控制器、分控制器、楼层选择器、

状态显示器、译码器和楼层显示器。乘客在电梯中选择所要到达的楼层,通过主

控制器的处理,电梯开始运行,状态显示器显示电梯的运行状态,电梯所在的楼

层数通过译码器译码从而在楼层显示器中显示。分控制器把有效的请求传给主控

的楼层数。由于分控制器相对简单很多,所以主控制器是核心部分。

状态显示

分控制器主控制器译码器楼层显示

楼层选择

图2-6 电梯控制器原理框图

3.四层电梯控制器的调试及仿真

3.1 MAX+PlusⅡ的流程介绍

(1) 设计准备在对可编程逻辑器件的芯片进行设计之前,首先要进行方案论

证、系统设计和器件选择等设计准备工作。设计者首先要根据任务要求,如系统所完成的功能及复杂程度,对工作速度和器件本身的资源、成本及连线的可布通性等方面进行权衡,选择合适的设计方案。

(2)设计输入:设计者将所设计的系统或电路以开发软件要求的某种形式表现

出来,并送入计算机的过程称为设计输入。设计输入通常有以下几种方式。

(a)原理图输入方式

(b)硬件描述语言输入方式

(c)波形输入方式

(d)层次化设计输入方式

(3)项目编译(设计处理)这是器件设计中的核心环节。在设计处理过程中,

编译软件将对设计输入文件进行逻辑化简、综合和优化,并适当地用一片或多片器件自动进行适配,最后产生编程用的编程文件。

(4)仿真和定时分析(项目校验):设计项目的校验包括设计项目的仿真(功能

仿真)、定时分析两个部分。一个设计项目在编译完成后只能为项目创建一个编程文件,但并不能保证是否真正达到了用户的设计要求,如逻辑功能和内部时序要求等。所以在器件编程之前应进行全面模拟检测和仿真调试,以确保其设计项目在各种可能的情况下正确响应和正常工作,这就是项目校验(仿真调试)的必要性。MAX+plusⅡ提供的设计校验过程包括仿真和定时分析,项目编译后,为确保设计无误,再用专门软件进行仿真[6]。如果发现了错误,则对设计输入进行部分修改直至无误。

3.2各模块的VHDL语言

3.2.1主控制器模块

中央数据处理模块是系统的核心,通过对存储的数据(含请求、到达楼层等信号)进行比较、判断以驱动系统状态的流转。电梯工作过程中共有9种状态:等待、上升、下降、开门、关门、停止、休眠、超载报警以及故障报警状态。一般情况下,电梯工作起始点是第一层,起始状态是等待状态,启动条件是收到上升请求。电梯控制器的系统结构如图3-1所示。电梯控制器的输入输出引脚如图3-2所示。

图3-1 电梯控制器结构

图3-2 电梯控制器输入输出引脚

主控制器的端口定义和初始状态的程序为:

library ieee;

use ieee.std_logic_1164.all;

use ieee.std_logic_unsigned.all;

use ieee.std_logic_arith.all;

entity fourlift is

port(

buttonclk:in std_logic; --按钮时钟信号

liftclk: in std_logic; --电梯时钟信号

reset:in std_logic; --复位键

f1upbutton:in std_logic; --一楼上升按钮

f2upbutton:in std_logic; --二楼上升按钮

f3upbutton:in std_logic; --三楼上升按钮

f2dnbutton:in std_logic; --二楼下降按钮

f3dnbutton:in std_logic; --三楼下降按钮

f4dnbutton:in std_logic; --四楼下降按钮

fuplight:buffer std_logic_vector(4 downto 1); --上升指示灯

fdnlight:buffer std_logic_vector(4 downto 1); --下降指示灯

stop1button,stop2button,stop3button, stop4button:in std_logic; --电梯内部按钮

stoplight:buffer std_logic_vector(4 downto 1); --内部停止指示灯

position:buffer integer range 1 to 4; --楼层位置显示

doorlight:out std_logic; --电梯门指示灯…1? 开…0?关

udsig:buffer std_logic ); --…0?处于上升模式,…1?处于下降模式

end fourlift;

architecture art of sixlift is

type lift_state is (stopon1,dooropen,doorclose,doorwait4,up,down,stop); --电梯所处的7个状态

signal mylift:lift_state; --内部电梯状态信号

signal clearup:std_logic; --上升清除信号

signal cleardn:std_logic; --下降清除信号

begin

controllift:process(reset,liftclk)

variable pos:integer range 1 to 4; --楼层位置变量

begin

if reset='1' then

mylift<=stopon1; --起始状态

clearup<='0'; --上楼信号清除

cleardn<='0'; --下楼信号清除

pos:=1; --处于一楼

position<=1; --处于一楼

3.2.2数据选择器模块设计

有效的对外部信号采集、选择、处理要求电梯控制器对外部请求信号的实

时、准确采集准确、实时的捕捉楼层到达信号[7];有防止楼层到达信号、外部请求信号的误判。其电路为如图3-3所示。该模块有八个信号输入引脚一个数据选择输出引脚。

图3-3 数据选择器模块

该模块的控制程序如下:

library ieee;

use ieee.std_logic_1164.all;

entity led is

port(

input:in std_logic_vector(3 downto 0);

output:out std_logic_vector(4 downto 0) );

end;

architecture a of led is

begin

output<="0110000" when input="0001" else

"1101101" when input="0010" else

"1111001" when input="0011" else

"0110011" when input="0100" else

"1011011" when input="0101" else

"1011111" when input="0110" else

"0000000";

end a;

3.2.3 译码器模块

该模块的功能是将主控制器的二进制码信号进行译码并转换成控制信号。并把转换后的控制信号转发大外围电路,让其正确执行主控制器的命令[8]。其电路图如图3-4所示。该模块有一个输入时钟引脚和八个信号输入引脚,一个译码输出引脚。

图3-4 译码器模块

其控制程序为:

library ieee;

use ieee.std_logic_1164.all;

use ieee.std_logic_unsigned.all;

entity yima is

port(

aaa:integer range 1 to 4;

bbb:out std_logic_vector(3 downto 0) );

end;

architecture a of yima is

begin

process(aaa)

begin

if aaa=1 then bbb<="0001";

CLK QOUT(2..0) A[2..0] q[7..0]

SEL[2..0]

A[3..0]

B[3..0]

C[3..0]

D[3..0]

Q[2..0]

E[3..0] D[2..0] Q[4..0]

elsif aaa=2 then bbb<="0010";

elsif aaa=3 then bbb<="0011";

elsif aaa=4 then bbb<="0100";

end if;

end process;

end a;

3.2.4 分频器模块

该模块是将电梯的接收信号进行分频处理控制电梯的运行速度。分频器的部分图形如图3-5所示其控制程序如下所示[9]。其外部引脚有两个时钟引脚,引脚clk-in 是时钟输入,引脚clk 是时钟输出引脚。reset 是复位引脚。

library ieee;

use ieee.std_logic_1164.all;

use ieee.std_logic_unsigned.all;

entity div is

port(

clk_in:in std_logic; div_out:out std_logic);

end;

architecture a of div is

signal fre_N:integer range 0 to 10;

signal clk_tmp:std_logic;

begin

div_out<=clk_tmp;

process(clk_in)

begin

if falling_edge(clk_in) then

if fre_N>10 then

fre_N<=0;

clk_tmp<=not clk_tmp;

else fre_N<=fre_N+1;

end if;

end if;

end process;

图3-5 分频器部分的图形

end a;

1、毕业设计(论文)对学生的要求

昆明理工大学 毕业设计(论文)管理工作 对学生的基本要求 一、毕业设计(论文)中学生应遵循的基本原则 1、毕业设计(论文)教学环节是综合性的实践教学活动,不仅可使学生综合运用所学过的知识和技能解决实际问题,还训练学生学习、钻研、探索的科学方法,提供学生自主学习、自主选择、自主完成工作的机会。 2、毕业设计(论文)是在指导教师的指导下,使学生受到解决工作实际问题、进行科学研究的初步训练。学生应充分认识此项工作的重要性,要有高度的责任感,在规定的时间内按要求全面完成毕业设计(论文)的各项工作。 3、学生在接到毕业设计(论文)任务书后,在领会课题的基础上,了解任务的范围及涉及的素材,查阅、收集、整理、归纳技术文献和科技情报资料,结合课题进行必要的外文资料阅读并翻译与课题相关、不少于3000汉字的外文资料。 4、向指导教师提交开题报告或工作计划。在开题报告或工作计划中,要拟定完成课题所采取的方案(凡选“工程设计类”、“工程技术研究类”的题目,必须有方案的经济、技术、社会发展指标的对比分析,“工程设计类”偏重于经济技术指标的对比分析,选择较优的方案进行详细设计;“工程技术研究类”偏重于研究技术路线的经济性、先进性、可靠性、可行性、实用性等)、步骤、技术路线、预期成果等。经指导教师审阅同意后方可实施。 5、学生应主动接受教师的检查和指导,定期向指导教师汇报工作进度,听取教师对工作的意见和指导。 6、毕业设计(论文)是对学生工作能力的训练,学生在毕业设计(论文)中应充分发挥主动性和创造性,独立完成任务,树立实事求是的科学作风,严禁抄袭他人的设计(论文)成果,或请人代替完成毕业设计(论文)。 7、学生在毕业设计(论文)答辩结束后,必须交回毕业设计(论文)的所有资料,对工作中的有关技术资料,学生负有保密责任,未经许可不能擅自对外交流和转让。 8、学生应做好毕业设计(论文)的总结。在提交的成果中总结业务上的收获、思想品德方面的提高,感受到的高级工程技术人才应具有的科学精神和品质。 9、学生在毕业设计(论文)期间要遵守学校、学院的规章制度。 二、开题报告、毕业设计说明书、毕业论文的撰写要求 (一)、开题报告撰写内容与要求 1、工程设计类、工程技术研究类、软件类的课题学生必须完成开题报告。 2、开题报告一般应包括:项目研究的目的、意义,国内外技术发展概况及国内需求,国内现有工作的基础和条件,研究进展,最终成果形式及应用方向,研究方案及技术途径,协作配套措施及协作单位,所需研究试验条件及落实措施,经费概算等内容(具体要求见学校统一的开题报告)。

PLC电梯控制系统毕业设计论文

本科学生毕业设计(论文) 毕业论文 课题名称:基于PLC的四层电梯控制 班级:07自动化2 学号:08 姓名: 指导教师: 信息工程系

论文摘要 本文介绍一种电梯PLC控制系统。电梯是垂直方向的运输设备,是高层建筑中不可缺少的交通运输设备。它靠电力,拖动一个可以载人或物的轿厢,在建筑的井道内导轨上做垂直升降运动,在人们生活中起着举足轻重的作用。而控制电梯运行的PLC系统也要求越来越高,要求达到电梯运行的“稳、准、快”的运行目的。该系统主要由PLC、逻辑控制电路组成。其中包括交流异步电动机、继电器、接触器、行程开关、按钮、发光指示器和变频器组成为一体的控制系统。本机控制单元采用以三菱公司的可编程控制器PLC对机器进行全过程控制。 整个系统通过PLC、逻辑控制电路对电梯的升降;加、减速;平层;起动、制动控制。其结构简单、运行效率高、平层精度高、易于理解与掌握。

目录 论文摘要 (1) 第四章电梯的电气控制系统 (5) 4.1概述 (5) 4.2电梯电气控制系统中的主要电器部件 (5) 4.3电梯自动控制系统中的各主要控制环节及结构原理 (6) 4.3.1 各类电梯安全可靠运行的充分与必要条件 (6) 4.3.2 电梯自动开关门的控制环节 (6) 4.3.3 电梯的方向控制环节 (7) 4.3.4 发生制动减速信号的控制环节 (9) 4.3.5 主驱动控制环节 (10) 4.3.6 电梯的安全保护环节 (10) 4.4电梯的内外召唤指令的登记与消除 (12) 4.4.1 召唤指令信号登记记忆线路的原理说明 (13) 4.4.2 轿内信号的登记、记忆与消除 (14) 4.4.3 层外召唤信号的登记记忆与消除 (15) 4.5电梯的信号指示系统 (16) 4.5.1 数码显示的层楼指示灯 (16) 4.5.2 运行方向灯、轿内指令及厅外召唤信号灯 (16) 4.5.3 超载信号指示灯及音响 (17) 4.6电梯的消防控制系统 (18) 4.6.1 电梯控制系统中适应消防控制的几个基本要求 (18) 4.6.2 消防控制系统的类型及工作原理 (19) 4.7交流信号控制电梯线路原理说明 (20) 4.7.1 概况 (20) 4.7.2 电梯投入使用和撤出使用 (20) 4.7.3 自动开关门 (20) 4.7.4 电梯的启动,加速和满速运行,制动减速,停车和开门 (21) 4.7.5 指令信号登记,记忆和消除 (22) 4.7.6 电梯的安全保护 (22) 第五章结论 (23) 参考文献 (55) 附录一I/O分配表 (56) 附录二交流双速电梯线路图元件代号说明 (57)

三层电梯控制设计

综合实训设计报告信息工程与自动化学院自动化系 设计题目:基于PLC的三层电梯控制系统设计 姓名: 学号: 专业:测控121班 指导老师: 二0一五年七月

引言 随着城市建设的不断发展,城市迅速的崛起,高层建筑的不断增多,电梯作为高层建筑中垂直运行的交通工具已与人们的日常生活密不可分。它是采用电力拖动方式,将载有乘客或货物的轿厢,运行于垂直方向的两根刚性导轨之间,运送乘客和货物的固定式提升设备。所以,电梯是为高层建筑运输服务的设备,它具有运送速度快、安全可靠、操作简便的优点。但传统的电梯控制系统主要采用继电器--接触器进行控制,其缺点是触点多,故障率高、可靠性差、维修工作量大等,而采用 PLC组成的控制系统可以很好地解决上述问题,使电梯运行更加安全、方便、舒适。 目前电梯的控制普遍采用了两种方式,一是采用微机作为信号控制单元,完成电梯信号的采集、运行状态和功能的设定,实现电梯的自动调度和集选运行功能,拖动控制则由变频器来完成;第二种控制方式采用可编程控制器取代微机实现信号控制。从控制方式和性能上来说,这两种方法并没有太大的区别,但PLC 可靠性高,程序设计方便灵活。 1.电梯的PLC控制方式 PLC是一种用于工业自动给控制的专用计算机。实质上属于计算机控制方式。PLC与普通微机一样,能通用或专用CPU作为字处理器,实现通道(字)的运算和数据存储,另外还有位处理器(布尔处理器),进行点(位)运算与控制。PLC控制一般具有可靠性高,易操作、维修和编程简单、灵活性强等特点。 1.1 PLC控制系统的可靠性 对可维修的产品,可靠性包括产品的有效性和可维修性。PLC不需要大量的活动元件和接线电子元件,它的接线大大减少,与此同时,系统的维修简单,维修时间短。PLC采用了一系列可靠性设计的方法进行设计,例如,冗余设计,断电保护,故障诊断和信息保护及恢复等,提高了MTBF,降低了MTTR,使可靠性提高。PLC是为工业生产过程控制而专门设计的控制装置,它具有比通用计算机控制更简单的编程语言,而为工业恶劣操作环境设计的硬件使可靠性大大提高。在PLC的硬件方面,采用了一系列提高可靠性的措施。例如,采用可靠性的元件,采用先进的工艺制造流水线制造,对于干扰的屏蔽、隔离和滤波等,对电源的断

基于单片机的电梯控制系统毕业论文完整

. .. . 分类号 XX大学 毕业设计(论文) 题目基于单片机的电梯控制系统 并列英文题目Elevator control system based on single chip 系部:电子信息系专业:应用电子技术 姓名:XXX 班级:电子0801 指导教师:XXX 职称:教师 论文报告提交日期:2011年5月25日

XX大学

模拟电梯控制系统设计 摘要单片机即单片微型计算机(Single-Chip Microcomputer ),是集CPU ,RAM ,ROM ,定时,计数和多种接口于一体的微控制器。其中51单片机是各种单片机中最为典型和最有代表性的一种,广泛应用于各个领域.电梯是集机械原理应用、电气控制技术、微处理器技术、系统工程学等多学科和技术分支于一体的机电设备,它是建筑中的永久垂直交通工具。本论文选择AT89S51为核心控制元件,设计了一个八层电梯系统,使用单片机汇编语言进行编程,实现运送乘客到任意楼层,并且显示电梯的楼层和上下行。利用单片机控制电梯有成本低,通用性强,灵活性大及易于实现复杂控制等优点。 关键词单片机电梯系统控制

Simulated elevator control system design Abstract Microcontroller that microcomputer (Single-Chip Microcomputer) gathering CPU, RAM, ROM, the timing, number and variety of interface integrated microcontrollers. 51 various SCM SCM is the most typical and most representative of a widely used in various fields. Elevator is the application of the principle set machinery, electrical control technology, microprocessor technology, systems engineering and other technical disciplines and branches of the integration of mechanical and electrical equipment, which is building a permanent vertical transport. This paper choice AT89S51 control of the core components, designed a new 8 storey lift systems, using single-chip assembly language programming, transporting passengers arrived a floor, it also shows the elevator floor and downlink. SCM control elevators low cost, versatility, flexibility and ease of large complex control advantages Keyboards Single-Chip Microcomputer Elevator system control

华东理工大学关于印发《本科生毕业论文(设计)

华东理工大学关于印发《本科生毕业论文(设计) 教学的若干规定》的通知 校教〔2017〕5号 毕业论文(设计)是本科培养方案中的重要环节,是培养学生综合应用所学知识和技能进行科学研究工作的基本训练,是培养和提高学生分析解决实际问题能力,实现教学、科研和社会实践相结合的重要途径。现将修订后的《本科生毕业论文(设计)教学的若干规定》印发给你们,请认真遵照执行。 华东理工大学 2017年1月18日

华东理工大学 本科生毕业论文(设计)教学的若干规定 毕业论文(设计)是本科培养方案中的重要环节,是培养学生综合应用本学科基本理论、专业知识和基本技能进行科学研究工作的基本训练,旨在培养和提高学生分析解决实际问题的能力。为提高毕业论文(设计)质量,特制定本规定。 一、目标与要求 各院(系)应按照培养方案的要求,结合学校规定,制定符合本学科特点的《院(系)本科生毕业论文(设计)工作管理办法》(以下简称《管理办法》),明确本科毕业论文(设计)培养的具体目标,应达到的质量标准,并建立行之有效的全面质量管理制度。 各院(系)制定的《管理办法》应及时告知本院(系)所有师生,并报教务处备案。 二、选题与指导教师选派 做好选题与指导教师选派工作是顺利开展毕业论文(设计)的前提。各院(系)要结合以下几点原则,制定适合本院(系)实际情况的《管理办法》。 1.选题难易度及工作量,一般应控制在学生经过努力可以如期完成的程度。各专业学生毕业论文(设计)实际工作时间不得少于12周,有条件的专业可以安排更多时间。 —2 —

2.选题应符合专业教学的基本要求,必须同本专业、学科紧密相关,鼓励不同专业或不同学科之间交叉融合。选题要贴近社会生产生活实际,并具有一定学术性,体现教学与科学研究、技术开发、经济建设和社会发展紧密结合的原则。综述类课题不宜作为本科毕业论文(设计)课题。 3.为保证工科专业学生同时得到工程设计和科学研究两方 面的基本训练,工科专业的毕业环节实行“套餐”制。“套餐”一为小设计+大论文,“套餐”二为小论文+大设计,学生两者选其一。小论文和小设计均属于独立的教学环节,单独考核,学时安排一般不得少于4周,小论文篇幅一般不少于5千字,机械类的小设计图纸不少于1.5张,工艺类图纸不少于l张。 4.学生能否进入毕业论文环节的资格审查,按《全日制本科生学籍管理条例(修订)》(校教〔2014〕29号)规定执行。 5.学生可以在院(系)提供的课题中选择毕业论文(设计)课题,也可以自主选题。后者须由院(系)审核并配备指导教师。 6.原则上每位学生一个题目。如果多位学生共同参与同一研究项目,应要求每位学生在共同协作完成项目的同时,还必须指定其独立完成的工作内容及相应工作量。 7.指导教师一般应选派工作责任心强,具有中级及以上职称或具有博士学位的教师担任。每名指导教师指导毕业论文人数一般不超过5人(指导毕业设计的,按2倍毕业论文指导人数计算,即不超过10人)。 —2 —

完整PLC电梯论文毕业摄设计总论文

第一章电梯的电力拖动系统方案设计 电力拖动系统是电梯的动力来源,它驱动电梯部件完成相应的运动。在电梯中主要有如下两个运动:轿厢的升降运动,轿门及厅门的开关运动。轿厢的运动由曳引电动机产生动力,经曳引传动系统进行减速、改变运动形式(将旋转运动改变为直线运动)来实现驱动,其功率在几千瓦到几十千瓦,是电梯的主驱动。轿门及厅门的开与关则由开门电动机产生动力,经开门机构进行减速、改变运动形式来实现驱动,其驱动功率较小(通常在200W以下),是电梯的辅助驱动。本部分内容主要是电梯的电力拖动系统方案设计。 1.1 电梯门机拖动系统方案的创新性设计 1.1.1 电梯门机系统简介 在电梯系统中,为了使其能够正常工作,也为了提高电梯系统的可靠性一般在电梯系统中都有一些附属装置,电梯门机系统即是其中一个。舒适的电梯系统应该有较短的候梯时间,门运行快捷、安静,使乘客不会觉得候梯和运行时间过长,因此,高效的电梯应该有一个良好的门机驱动系统。 在电梯中,门机系统的主要任务是接收来自上位管理与调度系发送的门机控制信号,驱动门电动机运行,以控制电梯轿厢门和厅门的联动开关。电梯门机系统主要由门电动机、门电动机控制器、门电动机驱动装置、门结构(门系统机械部分)、安全检测系统、大厅内乘客监测系统等组成。下面简单介绍各个组成部分及其速度曲线和运行过程。 (1) 梯门电机控制系统这部分主要由门电机控制器、门电机驱动装置以及门电动机等组成。其中门电机控制器主要用来控制门电机,使其沿给定门机曲线运行,以快速、安静、准确的开关电梯轿厢门和厅门。这部分如同一个小型的电机拖动控制系统。 (2) 电梯的门结构此部分主要由门扇、导轨、厅门门锁等构成,目前主要采用单扇门和中分门两种结构。为了提高门系统的快捷性,高性能的电梯系统多采用中分门结构。其中门扇必须具有坚固、防火的特点;导轨用来支撑门扇,故必须表面光滑、坚固且足够大,以便门扇可靠的移动;厅门门锁必须满足安全要求,当门扇到达关门点时应及时的锁住门。这部分对乘客安全非常重要。 (3) 安全检测在电梯控制系统中,为了避免乘客被正在关闭的门扇伤害,在门系统中大都设置安全检测系统,以检测关门时是否还有乘客从电梯门上通过。当轿厢门正在关闭时,如果此时有乘客欲进、出入电梯轿厢(包括乘客位于轿厢门前某段距离或乘客阻挡轿厢门关闭),则轿厢门应该停止关闭,且重新打开。轿厢门打开则不必有此过程。目前的安全系统主要大都采用光电式装置(如光敏元件),也有的采用电磁式装置。

PLC课程设计(三层电梯控制系统)

三层电梯控制系统的模拟 我设计的三层电梯控制系统的主要功能有:①楼层指示灯亮时表示停在相应的楼层,②每当停在各楼层时其楼层指示灯闪烁1秒接着常亮,③有呼叫的楼层有响应,反之没有,④电梯上升途中只响应上升呼叫,下降途中只响应下降呼叫,任何反方向的呼叫均无效。 2. 硬件电路设计和描述 ①模拟装置介绍 S1、S2、S3分别为轿厢内一层、二层、三层电梯内选按钮;D2、D3分别为二层、三层电梯外下降呼叫按钮;U1、U2分别为一层、二层电梯外上升呼叫按钮;SQ1、SQ2、SQ3分别为一层、二层、三层行程开关,模拟实际电梯位置传感器的作用。 L1、L2、L3分别为一层、二层、三层电梯位置指示灯;DOWN为电梯下降状态指示灯;UP为电梯上升状态指示灯;SL1、SL2、SL3分

别为轿厢内一层、二层、三层电梯内选指示灯。 ②控制要求 电梯由安装在各楼层门口的上升和下降呼叫按钮进行呼叫操纵,其操纵内容为电梯运行方向。电梯轿箱内设有楼层内选按钮S1~S3,用以选择需停靠的楼层。L1为一层指示、L2为二层指示、L3为三层指示,SQ1~SQ3为到位行程开关。电梯上升途中只响应上升呼叫,下降途中只响应下降呼叫,任何反方向的呼叫均无效。例如,电梯停在由一层运行至三层的过程中,在二层轿箱外呼叫时,若按二层上升呼叫按钮,电梯响应呼叫;若按二层下降呼叫按钮,电梯运行至二层时将不响应呼叫运行至三层,然后再下降,响应二层下降呼叫按钮。 电梯位置由行程开关SQ1、SQ2、SQ3决定,电梯运行由手动依次拨动行程开关完成,其运行方向由上升、下降指示灯UP、DOWN 决定。 例如:闭合开关SQ1,电梯位置指示灯L1亮,表示电梯停在1层,这时按下三层下呼按钮D3,上升指示灯UP亮,电梯处于上升状态。断开SQ1、闭合SQ2,L1灭、L2亮,表示电梯运行至二层,上升指示灯UP仍亮;断开SQ2、闭合SQ3,电梯运行至三层,上升指示灯UP 灭,电梯结束上升状态,以此类推。 当电梯在三层时(开关SQ3闭合),电梯位置指示灯L3亮。按下轿厢内选开关S1,电梯进入下降状态。在电梯从三层运行至一层的过程中,若按下二层上呼U2与下呼按钮D2,由于电梯处于下降状态中,电梯将只响应二层下呼,不响应二层上呼。当电梯运行至二层时,

毕业设计(论文)的基本要求

毕业设计(论文)的基本要求 一、毕业设计(论文)中学生应遵循的基本原则 1、意义:毕业设计(论文)教学环节是综合性的实践教学活动,不仅可使学生综合运用所学过的知识和技能解决实际问题,还训练学生学习、钻研、探索的科学方法,提供学生自主学习、自主选择、自主完成工作的机会。 2、任务:毕业设计(论文)是在指导教师的指导下,使学生受到解决工作实际问题、进行科学研究的初步训练。学生应充分认识此项工作的重要性,要有高度的责任感,在规定的时间内按要求全面完成毕业设计(论文)的各项工作。 3、要求: a)学生在接到毕业设计(论文)任务书后,在领会课题的基础上,了解任务的范围及涉及的素材,查阅、收集、整理、归纳技术文献和科技情报资料,结合课题进行必要的资料阅读并。 b)向指导教师提交开题报告。在开题报告或工作计划中,要拟定完成课题所采取的方案、步骤、技术路线、预期成果等。经指导教师审阅同意后方可实施。 c)学生应主动接受教师的检查和指导,定期向指导教师汇报工作进度,听取教师对工作的意见和指导。 d)在毕业设计(论文)中,学生应充分发挥主动性和创造性,独立完成任务,树立实事求是的科学作风,严禁抄袭他人的设计(论文)成果,或请人代替完成毕业设计(论文)。 e)在毕业设计(论文)答辩结束后,必须交回毕业设计(论文)的所有资料,对工作中的有关技术资料,学生负有保密责任,未经许可不能擅自对外交流和转让。 f)做好毕业设计(论文)的总结。学生在提交的成果中总结业务上的收获、思想品德方面的提高,感受到的高级工程技术人才应具有的科学精神和品质。 g)学生在毕业设计(论文)期间要遵守学校、学院的规章制度。 二、开题报告、毕业设计(论文)的撰写要求 1、开题报告:按照所发开题报告内容认真填写。 2、毕业设计(论文)的撰写内容与要求: 一份完整的毕业设计(论文)包括:标题、摘要、目录、前言、正文、结论、总结与体会、谢辞、参考文献、附录等。 a)标题:应简短、明确、有概括性。字数一般不宜超过20个字。如有些细节必须放进标题,为避免冗长,可以分为主标题和副标题,主标题写得简明,将细节放在副标题中。 b)摘要:摘要应高度概括课题的内容、方法和观点,以及取得的成果和结论。应反映出整个内容的精华。中文摘要在300字以内为宜,同时要求写出外文摘要,以250个实词为宜,并要求写出中文、外文的关键词。 c)目录:毕业设计(论文)的一级、二级标题。 d)前言: ◆说明设计的目的、意义、范围及应达到的技术要求; ◆说明选题的缘由,本设计的指导思想;阐述本设计应解决的主要问题; ◆摘要和前言,虽然所写的内容大体相同,但仍有很大区别,区别主要在于:摘要写得高度概括、简略、某些内容可作笼统的表述,不写选题的缘由;而前言则要写得稍微具体些,内容必须明确表达,应写明选题的缘由,在文字量上要比摘要多一些。 e)正文: ◆毕业设计(论文)的正文内容应包括:设计方案论证、计算部分、设备及产品选型、结

自动化毕业论文(四层电梯的PLC控制系统设计与实现)概要

摘要 随着科学技术的发展,近年来我国的电梯生产技术得到了迅速发展,一些电梯厂也在不断改进设计、修改工艺。更新换代生产更新型的电梯,电梯主要分为机械系统与控制系统两大部份,随着自动控制理论与微电子技术的发展,电梯的拖动方式与控制手段均发生了很大的变化,交流调速是当前电梯拖动的主要发展方向。目前电梯控制系统主要有三种控制方式:继电路控制系统(早期安装的电梯多位继电器控制系统)、PLC控制系统、微机控制系统。继电器控制系统由于故障率高、可靠性差、控制方式不灵活以及消耗功率大等缺点,目前已逐渐被淘汰。微机控制系统虽在智能控制方面有较强的功能,但也存在抗扰性差,系统设计复杂,一般维修人员难以掌握其维修技术等缺陷。而PLC控制系统由于运行可靠性高,使用维修方便,抗干扰性强,设计和调试周期较短等优点,倍受人们重视等优点,已成为目前在电梯控制系统中使用最多的控制方式,目前也广泛用于传统继电器控制系统的技术改造。 关键词PLC;电梯;控制系统;设计 I

Abstract Along with science's and technology's development, the recent years, our country's elevator production technology obtained the rapidly expand. Some elevator factory unceasingly is also improving the design, the revision craft. The renewal production renewal's elevator, the elevator mainly divides into the mechanical system and the control system two major parts, along with the automatic control theory and microelectronic technology's development, elevator's dragging way and the control method has had the very big change, the exchange velocity modulation is the current elevator dragging main development direction. At present the lift control system mainly has three control modes: Following electric circuit control system (“early installment elevator many black-white control system), PLC control system, microcomputer control system. Because the black-white control system the failure rate is high, the reliability is bad, control mode not nimble as well as consumed power big and so on shortcomings, at present has been eliminated gradually. Key words PLC, elevator, control system, design II

基于单片机的三层电梯控制系统设计毕业设计

西南科技大学 网络教育 毕业设计(论文) 题目 英文题目 学生签名: 指导教师签名: 年月

人均陆地面积计算不足12 地的1/61,加拿大的1/49,巴西的1/8 展,城市化进程的加快以及人口的增加,使得我国对土地的需求量越来越大,这使本来就很稀缺的土地变得更为重要。因此,自去年以来我国开始实行最严格的土地管理制度,为此国务院出台了关于深化改革严格土地管理的决定。各地区、各部门认真贯彻党中央、国务院部署,全面清理各类开发区,切实落实完善土地资源管理体制的政策,土地市场治理整顿取得了积极进展,有力地促进了宏观调控政策的落实。但是,成效还是初步的、阶段性的。由于国家政策和政府行政上的缺失,耕地大面积被侵占,建设用地无度征用,乱占滥开等问题仍然存在。加之土地资源管理的法律建设不够完善和执行力度弱,土地集约化利用程度不高,土地市场化配置还欠成熟,使得我国土地资源在管理上还存在着很多问题。因此,土地资源究竟应该如何管理是十分有现实意义的课题,它对如何有效的配置我国土地资源,进行宏观调控,使得经济平稳健康发展,提高人民生活水平都具有不可轻视的作用。

Abstract The land of our country from the overall resources only to Russia and Canada, ranking third in the world. But the per capita land area of less than 12 acres, less than the world average per capita area 1/3, only Australia land per capita 1/61, Canada 1/49, Brazil 1/8, American 1/5. With the rapid development of China's economy, city changes a course accelerate and the increase of population, making China's growing demand for land is large, which already scarce land become more and more important. Therefore, since last year, China began to implement the most strict land management system, the State Council issued on deepening the reform of strict land management decision. All regions, departments conscientiously implement the Party Central Committee, the State Council deployment, a comprehensive clean-up all types of development zones, the practical implementation of land resources management system policy, the land market rectification has achieved positive progress, vigorously promote the implementation of the policy of macro-control. However, results still preliminary, stage. Because of the national policy and the lack of government administration, a large area of land was occupied, construction land excessive requisition, the problem of excessive and other issues still exist. In addition to the legal construction of land resource management is not perfect and weak enforcement, land intensive use degree is not high, the land market allocation is not mature, so that land resources management in our country still exist many problems. Therefore, how to land resources management is of great practical significance, it is about how to effective allocation of land resources in China, the macro-control, the stable and healthy economic development, improving people's living standard has important role.酽锕极額閉镇桧猪訣锥。

电梯控制系统研究毕业论文

电梯控制系统研究毕业论文 目录 摘要· 第一章绪论·· 1.1课题的研究背景及意义 1.2 电梯的国外发展状况 1.3课题研究的容 第2章电梯的综述·· 2.1电梯的定义与简介··················· 2.2电梯的历史发展···················· 2.3电梯的种类······················· 2.4电梯的主要参数及性能指标················ 2.5电梯的结构及组成部件·················· 第3章控制系统软件控制回路·· 3.1 总体方案的确定····················· 3.2 设计思想························ 第4章软件的选择··

第5章硬件的控制·· 5.1主拖动回路的设计·· 5.1.1电梯机房里的主要部件·· 5.1.2电梯的安全保护装置·· 5.2.1电梯参数的计算·· 5.2.2变频器的工作原理·· 5.2.3电机与变频器配置及容量的选择··5.3电梯门控制系统·· 5.3.1开关门过程·· 5.3.2门的拖动系统·· 第六章经济效益分析·· 结论· 致谢· 参考文献· 附录·

第一章绪论 1.1课题的研究背景及意义 电梯是高层宾馆、商店、住宅、多层厂房和仓库等高层建筑不可缺少的垂直方向的交通工具。随着社会的发展,建筑物规模越来越大,楼层越来越多,对电梯的可靠性、舒适感和美学等方面的要求也有了更高要求。 电梯是集机电一体的复杂系统,不仅涉及机械传动、电气控制和土建等工程领域,还要考虑可靠性、舒适感和美学等问题。而对现代电梯而言,应具有高度的安全性。事实上,电梯上已经采用了多项 安全保护措施。在设计电梯的时候,对机械零部件和电器元件都采取了很大的安全系数和保险系数。然而,只有电梯的制造,安装调试、售后服务和维修保养都达到高质量,才能全面保证电梯的最终高质 量。在国外,已“法规”实行电梯制造、安装和维修一体化,实行由各制造企业认可的、法规认证的专业安装队伍维修单位,承担安装调试、定期维修和检查试验,从而为电梯运行的可靠性和安全性提供了保证。因此,可以说乘坐电梯更安全。美国一家保险公司对电梯的安全性做过认真地调查和科学计算,其结论是:乘电梯比走楼梯安全5倍。掘资料统计,在美国乘其他交通工具的人数每年约为80亿人次,而乘电梯的人数每年却有540亿人次之多。

基于plc电梯控制系统设计毕业论文_1

第1章绪论 1.1 论文的背景及意义 随着科学技术的发展、城市现代化进程的突飞猛进,电梯作为一种高效、迅捷、安全、可靠的垂直运输设备,成为了人们不可缺少的运输工具。现代高层建筑中各办公大楼、住宅、宾馆、医院、工矿企业、仓库、码头、大型货轮等都离不开它。据统计,在美国乘其他交通工具的人数每年约为80亿人次,而乘电梯的人数每年却有540亿人次之多。电梯服务中国已有100多年历史,特别在改革开放以后,我国电梯的使用数量快速增长。尤其是现阶段,随着经济日新月异的发展,人们生活水平不断提高,城市建筑不断增多,楼房也越来越高,与此相应,电梯也得到迅猛的发展。现在,电梯已完全融入我们的生产、生活中,满足人们生活、工作及学习的需要。据统计,我国在用电梯已达40多万台,每年还以约5万~6万台的速度增长[1][2]。 电梯的作用越来越显著,电梯的需求越来越大。而目前我国使用的先进的电梯系统基本上都是国外设计制造,其核心技术并不公开。国内具有自主知识产权的控制方法和技术在实际中的应用还比较少,与国外先进技术相比还有较大的差距。尽快研究和掌握先进的控制技术,对国内电梯工业的发展会有很大的促进作用。 早期的电梯自动控制系统中,信号的逻辑控制一般是由继电器—接触器电路来实现。由于继电器、接触器都是有触点的电气元件,体积庞大,弧光放电较严重,使用寿命有限;在电梯这种较复杂控制系统中可靠性不高,施工过程中接线复杂,当控制要求改变时必须改变硬件接线,使得通用性和灵活性不够,生产周期加长;另外,继电器、接触器触点数目有限,可扩展性较差;继电器—接触器控制系统依靠触点的机械动作实现控制,工作频率低且机械触点还会出现抖动问题;继电器控制逻辑一般不具备计数功能;同时随着楼宇层数的增加,继电器—接触器控制系统过于庞大,给设计带来不便。基于以上多种原因,导致电梯控制系统的工艺性、运行的可靠性与安全性降低,故目前己被逐步淘汰。 目前电梯的控制普遍采用两种方式,一是采用微机作为信号控制单元,完成电梯信号的采集、运行状态和功能的设定,实现电梯的自动调度和集选运行功能。微机控制是电梯控制技术的发展方向,目前已有一些由微机控制的电梯新机型相继推出,使控制功能得到增强,性能得到改善。微机控制系统虽然在智能控制方面有较强大的功能,但也存在一定的不足之处,一方面微机控制抗干扰能力较差、

电梯设计毕业论文

稻壳互联网大学毕业设计(论文)开题报告 2019 届 2019年5月9日

摘要 随着经济的高速发展,微电子技术、计算机技术和自动控制技术也得到了迅速发展,交流变频调速技术已经进入一个崭新的时代,其应用越来越广。电梯是现代高层建筑的垂直交通工具,其设计要求稳定性、安全性及高。随着人们生活水平的不断提高,对电梯的要求的也相应提高,这样对电梯的安装也有了更高的要求。通过合理的选择和人性化的设计,提高了电梯的安装效率,并提升了电梯的安全系数与安装质量,使电梯达到了更美观、更安全、更实用的层次。 关键词:电梯硬件描述语言编译仿真

目录 摘要 (1) 第1章绪论 (1) 1.1课题的研究背景及意义 1.2 电梯的国内外发展状况 1.3课题研究的内容 第2章电梯的综述...................... 错误!未定义书签。 2.1电梯的定义与简介 2.2电梯的历史发展 2.3电梯的种类 2.4电梯的主要参数及性能指标 2.5电梯的结构及组成部件11 第3章控制系统软件控制回路.......... 错误!未定义书签。 3.1 总体方案的确定14

3.2 设计思想 第4章硬件的选择.................... 错误!未定义书签。 第5章硬件控制.................... 错误!未定义书签。 2.1 主拖动回路的设计.................................................. 错误!未定义书签。 2.1.1 电梯机房里的主要部件 .................................... 错误!未定义书签。 2.1.2电梯的安全保护装置.......................................... 错误!未定义书签。 2.2 电梯拖动 .................................................................... 错误!未定义书签。 2.2.1电梯参数的计算 ................................................... 错误!未定义书签。 2.2.2变频器的工作原理............................................... 错误!未定义书签。 2.2.3 电机与变频器配置及容量的选择 ............... 错误!未定义书签。 2.3 电梯门控制系统....................................................... 错误!未定义书签。 2.3.1 开关门过程 ........................................................... 错误!未定义书签。 2.3.2 门的拖动系统....................................................... 错误!未定义书签。 第6章经济效益分析 (22) 结论 (23) 致谢 (24)

模拟电梯控制系统设计论文

(此文档为word格式,下载后您可任意编辑修改!) 2010届本科生毕业设计(论文)题目:《单片机模拟电梯控制系统》文献综述 学生姓名:付天池 院系: 专业、班级:机电一体化09 学号: 指导教师:冯青秀

模拟电梯控制系统设计 摘要 单片机即单片微型计算机(Single-Chip Microcomputer ),是集CPU ,RAM ,ROM ,定时,计数和多种接口于一体的微控制器。其中51单片机是各种单片机中最为典型和最有代表性的一种,广泛应用于各个领域.电梯是集机械原理应用、电气控制技术、微处理器技术、系统工程学等多学科和技术分支于一体的机电设备,它是建筑中的永久垂直交通工具。 本论文选择AT89S51为核心控制元件,设计了一个八层电梯系统,使用单片机汇编语言进行编程,实现运送乘客到任意楼层,并且显示电梯的楼层和上下行。利用单片机控制电梯有成本低,通用性强,灵活性大及易于实现复杂控制等优点。 关键词单片机电梯控制

Abstract Microcontroller that microcomputer (Single-Chip Microcomputer) gathering CPU, RAM, ROM, the timing, number and variety of interface integrated microcontrollers. 51 various SCM SCM is the most typical and most representative of a widely used in various fields. Elevator is the application of the principle set machinery, electrical control technology, microprocessor technology, systems engineering and other technical disciplines and branches of the integration of mechanical and electrical equipment, which is building a permanent vertical transport. This paper choice AT89S51 control of the core components, designed a new 8 storey lift systems, using single-chip assembly language programming, transporting passengers arrived a floor, it also shows the elevator floor and downlink. SCM control elevators low cost, versatility, flexibility and ease of large complex control advantages Keyboards Single-Chip Microcomputer Elevator control

电梯毕业论文概要

. 毕业设计(论文) (2016届) 题目:电梯工程技术之整机调试 专业名称:电梯工程技术(调试工程师)姓名:古惠南 学号: 1317080309 班级: 13级电梯调试班 指导教师:吕晓梅 2016年 4 月 3 日

. 摘要 随着经济的高速发展,微电子技术、计算机技术和自动控制技术也得到了迅速发展,交流变频调速技术已经进入一个崭新的时代,其应用越来越广。电梯是现代高层建筑的垂直交通工具,其设计要求稳定性、安全性及高。随着人们生活水平的不断提高,对电梯的要求的也相应提高,这样对电梯的安装调试也有了更高的要求。通过合理的选择和人性化的设计,提高了电梯的安装效率,并提升了电梯的安全系数与整机调试质量,使电梯达到了更美观、更安全、更实用的层次。 关键词:电梯整机调试

. 目录 摘要····················································· 第一章绪论············································1.1研究背景及意义······················ 1.2 电梯的国外发展状况···················· 1.3研究的容···················· 第2章电梯的综述···········································2.1电梯的定义与简介··················· 2.2电梯的历史发展···················· 2.3电梯的种类······················· 2.4电梯的主要参数及性能指标················ 2.5电梯的结构及组成部件·················· 第3章控制系统软件控制回路·································

相关文档