文档库 最新最全的文档下载
当前位置:文档库 › 数字电路期末知识点复习题

数字电路期末知识点复习题

数字电路期末知识点复习题
数字电路期末知识点复习题

字电子电路复习练习题

一、填空题

1.半导体具有三种特性,即:热敏性、光敏性和_________性。

2.集电极反向饱和电流I CBO

是指发射极_________时,集电极与基极之间加反向电压时测得的集电极电流,良好的三极管该值较_________。

3.逻辑函数的反演规则指出,对于任意一个函数F ,如果将式中所有的_________互换,_________互换,_________互换,就得到F 的反函数?F 。

4.格雷码又称________码,其特点是任意两个相邻的代码中有_______位二进制数位不同。

5.从TTL 反相器的输入伏安特性可以知道两个重要参数,它们是____________和____________。

6. 输出n 位代码的二进制编码器,一般有 __________个输入信号端。

7.全加器是指能实现两个加数和____________三

数相加的算术运算逻辑电路。

8. 时序电路除了包含组合电路外,还必须包含具有记忆功能的_________电路。因此,仅用一般的逻辑函数描述时序电路的逻辑功能是不够的,必须引进_________ 变量。

9.要使触发器实现异步复位功能(Q

n+1

=0),应使异步控

制信号(低电平有效)?R D =___________,

?S D =___________。

10.JK

触发器当

J =K =________时,触发器Q n+1=?Q n 。

11.n 位二进制加法计数器有_________个状态,最大计数值为_________。

12.用555定时器构成的 单稳态触发器,若充放电回路中的电阻、电容分别用R 、C 表示,则该单稳态触发器形成的脉冲宽度t w ≈____________。

13.施密特触发器具有两个_________状态,当输出发生正跳变和负跳变时所对应的_________电压是不同的。

14.组成ROM 电路中的输出缓冲器一般由三态门组成,其作用一是实现对输出状态的

______________控制,二是提高带负载能力。

15.当RAM 的字数够用、位数不够用时,应扩展位数。其方法是将各片

RAM

____________端、R/?W 端 和CS 端并联起来即可。 二、选择题

1.与晶体三极管组成的电路相比,MOS 管组成电路的主要特点是 _________ 。

a .电流控制;

b .输入电阻高;

c .带负载能力强

2.下列数码均代表十进制数6,其中按余3码编码的是_________。

a .0110;

b . 1100;

c .1001

3. 已知逻辑函数Y=AB+A ?B+?A ?B ,则Y 的最简与或表达式为____________。

a .A ;

b .A+?A ?B ;

c . A+?B ;

d .?A+B

4.TTL 与非门扇出系数的大小反映了与非门___________能力的大小。

a .抗干扰;

b .带负载;

c . 工作速度

5. 如果采用负逻辑分析,

正或门即____________。

a.负与门;b.负或门;c.或门

6.七段显示译码器,当译码器七个输出端状态为abcdefg=0011111时(高点平有效),译码器输入状态(8421BCD 码)应为____________。

a.0011;b.0110;c.0101;d.0100

7.一个8选1数据选择器,其地址输入端(选择控制输入端)的个数应是_________个。

a.2;b.3;c.4;d.8

8.要实现输入为多位、输出为多位的功能,应选用中规模集成___________组件。

a.编码器;b.译码器;c.数据选择器;d.数值比较器

9.对于J-K触发器,若J=K,

则可完成_________触发器

的逻辑功能。

a.R-S;b.D;c.T;d.J-K

10.3个移位寄存器组成的扭环形计数器,最多能形成

____________个状态的有效循

环。

a.3;

b.4;c.6;

d.8

11.555定时器输入端U I1

端(管脚6)、U I2端(管脚2)

的电平分别大于

3

2

U DD和

3

1

U DD时(复位端?R D=1),定时器

的输出状态是_________。

a.0 ;

b.1 ;c.原

状态

12.555定时器构成的单稳

态触发器的触发电压u i应

____________U DD。

a.大于;

b.小于;c.等于;

d.任意

13.只读存储器ROM的功

能是____________。

a.只能读出存储器的内容

且断电后仍保持;b.只

能将信息写入存储器;

c.可以随机读出或写入

信息;d.只能读出存储器

的内容且断电后信息全丢失

14.用_________片1k?4 的

ROM可以扩展实现8k?4 ROM

的功能。

a.4;b.8;

c.16;d.32

三、简述题。

1.最小项的性质。

2.组合电路产生竞争冒险

的原因及常用的消除竞争冒险

的方法。

3.用中规模集成计数器构

成任意进制计数器的三种方法

及各自的原理。

四、分析、设计、化简题

4.1将下列逻辑函数化简

成最简与或表达式。

(1)

Y1=A?B?C+?A?B+?AD+C+BD

(用公式法)

(2)

Y2=AB?C+AB?D+?ABC+AC?D

(?B?C+?BD=0)

(3)Y3(A,B,C,D)=∑

m

(2,3,7,8,11,14)+∑ d(0,

5,10,15)

4.2TTL电路如图4.2 (a)所示,写出输出Y的逻辑表达式,试根据图(b)的波形画出输出Y的

波形。

(a)(b)

图4.2

4.3 试用以下几种组件分别实现逻辑函数F = AB + AC + BC

(1)四选一数据选择器(四选一数据选择器的逻辑功能见式4.3.1);

(2)3线-8线译码器T4138(逻辑功能见式4.3.2);

数据选择器和译码器的外部引线排列示意图分别见图4.3.1和图4.3.2, T4138选通时,S1=1,?S2=?S3=0。

Y=(D10?A2?A1+ D11?A2A1+ D12A2?A1+ D13A2A1)S(式4.3.1)

(式4.3.2)

图4.3.1 图4.3.2

4.4 分析图4.4电路,2线—4线译码器的功能表达式见式4.4。

(1)写出输出F的表达式;

(2)填表4.4;

(3)说明图4.4电路的功能。

Y0=?A1?A0,Y1=?A1A0,Y2=A1?A0,Y3=A1A0 (式4.4)

表4.4

图4.4

4.5两片3线-8线译码器连成的电路如图4.5所示。3线-8线译码器T4138逻辑功能表达式见式4.5,正常工作时S1=1,?S2=?S3=0。分析电路,填写真值表(见表4.5),说明电路功能。

图 4.5

(式4.5)

表4.5

4.6 电路如图4.6所示,图中① ~ ⑤均为2线—4线译码器。

1.欲分别使译码器① ~ ④处于工作状态,对应的C 、D 应输入何种状态(填表4.6.1); 2.试分析当译码器

①工作时,请对应A 、B 的状态写出?Y 10 ~ ?Y 13的状态(填表4.6.2); 3.说明图4.6电路的逻辑功能。

2线—4线译码器的功能见式4.6,工作时?S = 0。

(式4. 6)

图4.6 表4.6.1 表4.6.2

4.7 触发器电路如图4.7 (a) 所示,写出触发器输出端Q 的表达式并根据图 (b) 给定的波形 ,对应画出各输出端Q 的波形。设各触发器的初始状态均为“0”。

(a )

(b )

图4.7

4.8 触发器电路如图4.8(a) 所示,写出触发器输出Q 的表达式并根据图 (b) 给定的波形 ,对应画出各输出端Q 的波形。设各触发器的初始状态均为“0”。

(a )

(b)

图4.8

4.9 触发器电路如图4.9 (a) 所示,写出触发器输出端Q 的表达式并根据图 (b) 给定的波形,对应画出各输出端Q 的波形。设各触发器的初始状态均为“0”。

(a)

(b)

图4. 9

4.10十进制计数器T4160构成的计数器电路如图4. 10所示。T4160的功能见表4. 10。(1)分析该电路是几进制计数器,画出状态转换图;

(2)若改用复位法,电路该如何连接,画出连线图。

表4.10

4. 10

4.11电路如图4.11所示。3线-8线译码器的功能表达式参见式4.5,十进制计数器的功能参见表4.10。

(1)说明虚线框内的电路为几进制计数器,画出状态转换图;

(2)说明整个电路实现什么功能。

图4.11

4.12 由4位同步二进制计数器T4161组成的电路如图4.12,T4161的功能参见表4. 10。试求:(1)当预置数输入端D3D2D1D0分别为0011和0101时,计数器的计数进制各为多少?

(2)画出两种情况下的状态转换图。

图4.12

4.13分析图4.13计数器电路的功能,分别写出M =1和M =0时?LD的表达式,说明当M =1和M =0时电路的进制。T4161为四位二进制加法计数器,其功能参见表4.10。

图4.13

4.14试用四位二进制加法计数器T4161芯片构成十三进制加法计数器,其状态转换图见图4.14(a),T4161的外部引线排列见图4.14(b)、功能参见表4.10。

(a)(b)

图4.14

4.15电路如图4.15所示。分析电路,说明它是几进制加(减)法计数器,画出状态转换图。如果要使电路实现相应的逆运算,电路应如何连接,画出电路连接图。T4191是四位同步可逆计数器,其功能见表4.15所示。

表4.15

4.16 555定时器见图4.16(a)所示。

(1)试用图(a)所示的555定时器构成一个施密特触发器,画出连线图;

(2)定性画出该施密特触发器的电压传输特性;

(3)若电源电压U cc=6V,输入电压为图(b)所示的三角波,对应画出输出u o的波形。

(a)(b)

图4.16

4.17试用图4.17 的ROM设计一个全加器,全加器的真值表见表4.17,写出输出F1 F0的表达式,并在其输出交叉点上标出连接状态图。

图4. 17

表4. 17

4.18 分析图4.18所示电路功能,对应CP 画出Q A 、Q B 、Q C 和Y 的波形,设触发器的初始状态为0。八选一数据选择器的功能见式4.18。

Y=?A 2?A 1?A 0D 0+?A 2?A 1A 0D 1+?A 2A 1?A 0D 2+?A 2A 1A 0D 3+A 2?A 1?A 0D 4+A 2?A 1A 0D 5+A 2A 1?A 0D 6

+A 2A 1A 0D 7

(式4.18)

图4.18

习题参考答案 一、填空题

1.掺杂; 2.开路,小; 3.与、或运算, 0、1,原变量、反变量;

4.循环,一; 5.输入短

路电流,输入漏电流; 6.2n ;

7.(低位)进位信号; 8.存储,时间; 9.0,1; 10.1;

11.2 n ;2 n -1; 12.1.1RC

13.稳定,输入;14.三态 15.地址输入端 二、选择题

1.b ; 2.c ; 3.c ; 4.b ; 5.a ; 6.b ; 7.b ;

8.b ; 9.c ; 10.c ; 11.a ;

12.b ; 13.a ; 14.b 三、简述题。

1.最小项的性质。 (1)任何一组变量取值下,只有一个最小项的对应值为1;

(2)任何两个不同的最小

项的乘积为0; (3)任何一组变量取值下,全体最小项之和为1。

2.组合电路产生竞争冒险的原因及常用的消除竞争冒险的方法。

在组合电路中,当逻辑门有两个互补输入信号同时向相反状态变化时,输出端可能产生过渡干扰脉冲的现象。常用的消除竞争冒险的方法有:输入端加滤

波电容、加封锁或选通脉冲、修改逻辑设计等。

3.用中规模集成计数器构成任意进制计数器通常有三种方法:级连法、复位法和置位法。简述各种方法构成任意进制计数器的原理。

(1)级连法:将若干片计数器串联连接,若各个计数器的

计数容量分别为N1、N2、????,

则总的计数容量N=N1?N2????

?。

(2)复位法:当计数器完

成所需的计数时,产生复位控制

信号控制计数器的异步复位端,

使计数器复0。

(3)置位法:利用计数器

的预置数功能,使N进制的计数

器在循环计数过程中,跳过

(N-M)个状态,实现所需要的

M进制计数功能。

四、分析、设计、化简题

4.1 Y1=?B +C+D;

Y2=A?D +A?C+?ABC;

Y3=CD+?B?D+AC

4.2 Y=?A(B=0),Y= Z (B=1),对应波形见答图4.2所示。

答图4.2

4.3 (1)用四选一数据选择器实现F=AB+AC+BC= ABC+?ABC+A?BC+AB?C

令A2=A、A1=B,则:D13=1、D11= D12=C、D10=0,见答图4.3.1。

(2)用译码器实现

F=AB+AC+BC=

ABC+?ABC+A?BC+AB?C =

Y3+Y5+Y6+Y7 =

见答图4.3.2。

答图 4.3.1

答图4.3.2。

4.4 (1)F=D0?A1?A0+

D1?A1A0+ D2A1?A0+ D3A1A0,

(2)见答表4.4。

(3)四选一多路选择

器。

答表4.4

4.5 见答表4.5,该电路是一个4线-16线译码器。答表4.5

4.6 见答表4.6, 是4线-16线译码器。 答表4.6.1 答表4.6.2 4.7 Q 1

n+1

=D 1= D (CP 上升沿触发)

Q 2

n+1

=J 2?Q 2 n

+?K 2 Q 2n

= ?Q 1 n

?Q 2 n

+ Q 1 n

Q 2 n

(CP 下降沿触发)。波形见答图4.7。

答图4.7 4.8 Q 1

n+1

= ?Q 1n (A 下降沿触发,当Q 2=1时,Q 1

n+1

=0)

Q 2

n+1

=D 2=Q 1 n

(B 上升沿触发)。波形见答图4.8。

答图4.8

4.9 Q1n+1= ?Q1n(CP下降沿触发);Q2n+1= ?Q2n(Q1下降沿触发);Q3n+1= ?Q3n(CP上升沿触发);相应波形见答图4.9。

答图4.9

4.10 (1)八进制计数器,状态转换图见答图4. 10(a),

(2)复位法连接见答图4. 10(b)。

(a)

(b)

答图4. 10

4.11 (1)六进制加法计数器,状态转换图见答图4.11。

(2)顺序脉冲发生器。

0000→ 0001→ 0010

↑Q3Q2Q1Q0↓

0101← 0100← 0011

答图 4.11

4.12 (1)当D3D2D1D0为0011时,十进制加法计数器;当D3D2D1D0为0101时,八进制加法计数器。

(2)状态转换图分别见答图4.12(a)和(b)。

(a)

(b)

答图4.12

4.13 M=0时,,六进制加法计数器;M=1时,,十进制加法计数器。

状态转换图分别见答图4.13(a)(b)所示。

(a)

(b)

答图4.13

4.14 见答图4.14。

答图4.14

4.15 十进制加法计数器,状态转换图见答图4.13(a),十进制减法计数器见答图4.15(b)。

0000→ 0001 → 0010→0011→0100→0101→0110→0111→1000→1001→1001

(a)

(b)

答图4.15

4.16 分别见答图4.16(a)、(b)和(c)所示。

(a)(b)(c)

答图4.16

4.17 F 1 =?A2?A1A0 +?A2A1?A0+A2?A1?A0 +A2A1A0

F0=?A2A1A0 +A2?A1A0+A2A1?A0 +A2A1A0

画出ROM结点图见答图4. 14所示。

答图4. 17

4.18 Q1n+1= ?Q1n(CP下降沿触发),:Q2n+1= ?Q2n(Q A下降沿触发),Q3n+1= ?Q3n(Q B下降沿触发)。Y=?A2?A1?A0D0+?A2?A1A0D1+?A2A1?A0D2+?A2A1A0D3+A2?A1?A0D4+A2?A1A0D5+A2A1?A0D6

+A2A1A0D7 =?A2A1?A0+A2A1A0

见答图4.18。

答图4.18

数字电子技术基础试题及答案

数字电子技术基础期末考试试卷 课程名称 数字电子技术基础 A 卷 考试形式 闭 卷 考核类型 考试 本试卷共 4 大题,卷面满分100分,答题时间120分钟。 一、填空题:(每小题2分,共10分) 1.二进制数(1011.1001)2转换为八进制数为 (13.41) ,转换为十六进为 B9 。 2.数字电路按照是否具有记忆功能通常可分为两类: 组合逻逻辑电路 、 时序逻辑电路 。 3.已知逻辑函数F =A ⊕B ,它的与非-与非表达式为 ,或与非表达式 为 。 4.5个变量可构成 32 个最小项,变量的每一种取值可使 1 个最小项的值为1。 5.555定时器构成的施密特触发器,若电源电压V CC =12V ,电压控制端经0.01μF 电容接地,则上触发电平U T+ = V ,下触发电平U T –= V 。 二、化简题:(每小题10分,共20分) 1.用代数法将下面的函数化为最简与或式:F=C ·[ABD BC BD A +++(B+C)D]

2. 用卡诺图法将下列函数化简为最简与或式: F(A 、B 、C 、D)=∑m (0,2,4,5,7,13)+∑d(8,9,10,11,14,15) 三、分析题:(每小题10分,共40分) 1.试分析题1图所示逻辑电路,写出逻辑表达式和真值表,表达式化简后再画出新的逻辑图。 题 1图 得分 评卷人

2.74161组成的电路如题 2 图所示,分析电路,并回答以下问题: (1)画出电路的状态转换图(Q 3Q 2Q 1Q 0); (2)说出电路的功能。(74161的功能见表) 题 2 图 …………………密……………………封…………………………装…………………订………………………线………………………

(完整版)数字电子技术基础模拟试题A及答案

74LS191功能表 LD CT D U / CP D 0 D 1 D 2 D 3 Q 0 Q 1 Q 2 Q 3 0 × × × d 0d 1 d 2 d 3 1 0 0 ↑ ×××× 1 0 1 ↑ ×d 0 d 1 d 2 d 3 加法计数 减法计数 命 题 人 : 审 题 人 : 命 题 时 间 : 系名 专业 年级、班 学号 姓名 数字电子技术 课程试题( 卷) 题号 一 二 三 四 五 六 七 八 九 十 总分 得分 (请将答案写在答题纸上,答在试卷上不给分) 一. 选择题(16分) 1.已知A B A B B A Y +++=,下列结果正确的是( ) a . Y =A b .Y=B c .A B Y += d .Y=1 2.已知A=(10.44)10(下标表示进制),下列结果正确的是( ) a . A=(1010.1)2 b .A=(0A .8)16 c . A=(12.4)8 d .A=(20.21)5 3.下列说法不正确的是( ) a .当高电平表示逻辑0、低电平表示逻辑1时称为正逻辑 b .三态门输出端有可能出现三种状态(高阻态、高电平、低电平) c .OC 门输出端直接连接可以实现正逻辑的线与运算 d .集电极开路的门称为OC 门 4.以下错误的是( ) a .数字比较器可以比较数字大小 b . 半加器可实现两个一位二进制数相加 c .编码器可分为普通全加器和优先编码器 d .上面描述至少有一个不正确 5.下列描述不正确的是( ) a .触发器具有两种状态,当Q=1时触发器处于1态 b .时序电路必然存在状态循环 c .异步时序电路的响应速度要比同步时序电路的响应速度慢 d .主从JK 触发器具有一次变化现象 6.电路如下图(图中为上升沿Jk 触发器),触发器当前状态Q 3 Q 2 Q 1为“100”,请问在时钟作用下,触发器下一状态(Q 3 Q 2 Q 1)为( ) a .“101” b .“100” c .“011” d .“000” 7.电路如下图,已知电路的当前状态Q 3 Q 2 Q 1 Q 0为“1100”,74LS191具有异步置数的逻辑功能,请问在时钟作用下,电路的下一状态(Q 3 Q 2 Q 1 Q 0)为( ) a .“1100” b .“1011” c .“1101” d .“0000” 8.下列描述不正确的是( ) a .EEPROM 具有数据长期保存的功能且比EPROM 在数据改写上更方便 b .DAC 的含义是数-模转换、ADC 的含义是模数转换 c .积分型单稳触发器电路只有一个状态 d .上面描述至少有一个不正确 二.判断题(9分) 1.TTL 输出端为低电平时带拉电流的能力为5mA ( ) 2.TTL 、CMOS 门中未使用的输入端均可悬空( ) 3.当决定事件发生的所有条件中任一个(或几个)条件成立时,这件事件就会发生,这种因果关系称为与运算。() 4.将代码状态的特点含义“翻译”出来的过程称为译码。实现译码操作的电路称为译码器。() 5.设计一个3进制计数器可用2个触发器实现( ) 6.移位寄存器除了可以用来存入数码外,还可以利用它的移存规律在一定的范围内构成任意模值n 的计数器。所以又称为移存型计数器( ) 7. 判断时序逻辑电路能否自启动可通过判断该电路是否存在有效循环来实现( ) 8. 施密特触发器电路具有两个稳态,而多谐振荡器电路没有稳态( ) 9. DRAM 需要定期刷新,因此,在微型计算机中不如SRAM 应用广泛( ) 三.计算题(8分) 1、在如图所示电路中,U cc =5V ,U BB =9V ,R 1=5.1kΩ, R 2=15kΩ,R c =1kΩ,β=40,请计算U I 分别为5V ,0.3V 时输出U O 的大小?。 密 线 封 A B

《数字电路》期末模拟试题及答案

- 1 - 一、填空题 1. PN 结具有单向导电性。正向偏置时,多子以扩散运动为主,形成正向电流;反向 偏置时,少子漂移运动,形成反向饱电流。 2. 双极型晶体三极管输出特性曲线的三个工作区是放大区、截止区、饱和区。 3. 已知三态与非门输出表达式C AB F ?=,则该三态门当控制信号C 为高电平时, 输出为高阻态。 4. 十进制数211转换成二进制数是(11010011)2;十六进制数是(D3)16。 5. 将若干片中规模集成电路计数器串联后,总的计数容量为每片计数容量的乘积。 6. 若用触发器组成某十一进制加法计数器,需要四个触发器,有五个无效状态。 7. 同步RS 触发器的特性方程为n 1n Q R S Q +=+;约束方程为RS=0 。 8. 下图所示电路中,Y 1 =B A Y 1=;Y 2 = ;Y 3 =AB Y 3= 二、选择题 1. 下列函数中,是最小项表达式形式的是____ c _____。 A. Y=A+BC B. Y=ABC+ACD C. C B A C B A Y +?= D. BC A C B A Y +?= 2. 要实现n 1n Q Q =+,JK 触发器的J 、K 取值应为__d ___。 A . J=0,K=0 B. J=0,K=1 C. J=1,K=0 D. J=1,K=1 3.数值[375]10与下列哪个数相等_b __。 A . [111011101]2 B. [567]8 C. [11101110]BCD D. [1F5]16 4.属于组合逻辑电路的是_____b ______ A . 触发器 B. 全加器 C. 移位寄存器 D. 计数器 5.M 进制计数器状态转换的特点是:设定初态后,每来_c __个计数脉冲CP ,计数器重 新 B 2 B V CC Y 1

数字电路复习题及答案

数字电路复习题 (注意:以下题目是作为练习和考试题型而设,不是考题,大家必须融会贯通,举一反三。) 1、逻辑电路可以分为 组合逻辑电路 电路和 时序逻辑电路 电路。 2、数字电路的基本单元电路是 门电路 和 触发器 。 3、数字电路的分析工具是 逻辑代数(布尔代数) 。 4、(50.375)10 = (110010.011)2 = (32.6)16 5、3F4H = (10010 )8421BCD 6、数字电路中的最基本的逻辑运算有 与 、 或 、 非 。 7、逻辑真值表是表示数字电路 输入和输出 之间逻辑关系的表格。 8、正逻辑的与门等效于负逻辑的 或门 。 9、表示逻辑函数的4种方法是 真值表 、 表达式、 卡诺图 、 逻辑电路图 。 其中形式惟一的是 真值表 。 10、对于变量的一组取值,全体最小项之和为 1 。 11、对于任意一个最小项,只有一组变量的取值使其值为 1 ,而在变量取其他各组值时 这个最小项的取值都是 0 。 12、对于变量的任一组取值,任意两个最小项之积为0。 13、与最小项ABC 相邻的最小项有C AB 、C B A 、BC A 。 14、组合逻辑电路的特点是 输出端的状态只由同一时刻输入端的状态所决定,而与先前的状态没有关系(或输出与输入之间没有反馈延迟通路;电路中不含记忆元件) 。 15、按电路的功能分,触发器可以分为 RS 、 JK 、 D 、 T 、 T ’。 16、时序电路可分为 同步时序逻辑电路 和 异步时序逻辑电路 两种工作方式。 17、描述时序电路逻辑功能的方法有逻辑方程组(含 驱动方程 、 输出方程 、 状态方程 )、 状态图 、 状态表 、 时序图 。 18、(251)10 =()2 =(FB )16 19、全体最小项之和为 1 。 20、按照使用功能来分,半导体存储器可分为 RAM 和 ROM 。 21、RAM 可分为 动态RAM 和 静态RAM 。 22、存储器以 字 为单位组织内部结构,1个字含有 若干 个存储单元。1个字中所含的位数(即存储单元的个数)称为字长。字数与字长的乘积表示存储器的 容量 。字数决定 存储器的地址线的颗数 ,字长决定 存储器的数据线的颗数 。

数字电路基础考试题9答案

A 卷 一.选择题(18) 1.以下式子中不正确的是( C ) a .1A =A b .A +A=A c . B A B A +=+ d .1+A =1 2.已知B A B B A Y ++=下列结果中正确的是( ) a .Y =A b .Y =B c .Y =A +B d .B A Y += 3.TTL 反相器输入为低电平时其静态输入电流为( ) a .-3mA b .+5mA c .-1mA d .-7mA 4.下列说法不正确的是( ) a .集电极开路的门称为OC 门 b .三态门输出端有可能出现三种状态(高阻态、高电平、低电平) c .O C 门输出端直接连接可以实现正逻辑的线或运算 d 利用三态门电路可实现双向传输 5.以下错误的是( ) a .数字比较器可以比较数字大小 b .实现两个一位二进制数相加的电路叫全加器 c .实现两个一位二进制数和来自低位的进位相加的电路叫全加器 d .编码器可分为普通全加器和优先编码器 6.下列描述不正确的是( ) a .触发器具有两种状态,当Q=1时触发器处于1态 6. A 7. B 8. A 9. B b .时序电路必然存在状态循环

c .异步时序电路的响应速度要比同步时序电路的响应速度慢 d .边沿触发器具有前沿触发和后沿触发两种方式,能有效克服同步触发器的空翻现象 7.电路如下图(图中为下降沿Jk 触发器),触发器当前状态Q 3 Q 2 Q 1为“011”,请问时钟作用下,触发器下一状态为( ) a .“110” b .“100” c .“010” d .“000” 8、下列描述不正确的是( ) a .时序逻辑电路某一时刻的电路状态取决于电路进入该时刻前所处的状态。 b .寄存器只能存储小量数据,存储器可存储大量数据。 c .主从JK 触发器主触发器具有一次翻转性 d .上面描述至少有一个不正确 9.下列描述不正确的是( ) a .EEPROM 具有数据长期保存的功能且比EPROM 使用方便 b .集成二—十进制计数器和集成二进制计数器均可方便扩展。 c .将移位寄存器首尾相连可构成环形计数器 d .上面描述至少有一个不正确 二.判断题(10分) 1.TTL 门电路在高电平输入时,其输入电流很小,74LS 系列每个输入端的输入电流在40uA 以下( ) 2.三态门输出为高阻时,其输出线上电压为高电平( ) 3.超前进位加法器比串行进位加法器速度慢( ) 4.译码器哪个输出信号有效取决于译码器的地址输入信号( ) 5.五进制计数器的有效状态为五个( ) 6. 施密特触发器的特点是电路具有两个稳态且每个稳态需要相应的输入条件维持。( ) 7. 当时序逻辑电路存在无效循环时该电路不能自启动() 8. RS 触发器、JK 触发器均具有状态翻转功能( ) 9. D/A 的含义是模数转换( ) 10.构成一个7进制计数器需要3个触发器( ) 三.计算题(5分) 如图所示电路在V i =和V i =5V 时输出电压 V 0分别为多少,三极管分别工作于什么区(放 大区、截止区、饱和区)。 V i 10k 3k GND +5V V 0

脉冲与数字电路——模拟试题一及答案

脉冲与数字电路试题 第一套 一、单选题(每题1分) 1. 回差是( )电路的特性参数。 A 时序逻辑 B 施密特触发器 C 单稳态触发器 D 多谐振荡器 2. 石英晶体多谐振荡器的主要优点是( )。 A 电路简单 B 频率稳定度高 C 振荡频率高 D 振荡频率低 3. 对TTL 与非门多余输入端的处理,不能将它们( )。 A 与有用输入端并联 B 接地 C 接高电平 D 悬空 4. TTL 与非门的关门电平是0.8V ,开门电平是2V ,当其输入低电平为0.4V ,输入高电平为 3.2V 时,其低电平噪声容限为( ) A 1.2V B 1.2V C 0.4V D 1.5V 5. 逻辑函数ACDEF C AB A Y +++=的最简与或式为( ) A .C A Y += B. B A Y += C. AD Y = D. AB Y = 6. 在什么情况下,“与非”运算的结果是逻辑0。 ( ) A .全部输入是0 B. 任一个输入是0 C. 仅一个输入是0 D. 全部输入是1 7. 组合逻辑电路( )。 A 一定是用逻辑门构成的 B 一定不是用逻辑门构成的 C 一定是用集成逻辑门构成的 D A 与B 均可 8. 已知逻辑函数的真值表如下,其表达式是( ) A .C Y = B .AB C Y = C .C AB Y += D .C AB Y +=

图2202 9. 要把不规则的矩形波变换为幅度与宽度都相同的矩形波,应选择( )电路。 A 多谐振荡器 B 基本RS 触发器 C 单稳态触发器 D 施密特触发器 10. 所谓三极管工作在倒置状态,是指三极管( )。 A 发射结正偏置,集电结反偏置 B 发射结正偏置,集电结正偏置 C 发射结反偏置,集电结正偏置 D 发射结反偏置,集电结反偏置 11. TTL 与非门的关门电平为0.8V ,开门电平为2V ,当其输入低电平为0.4V ,输入高电平 为3.5V 时,其输入高电平噪声容限为( )。 A 1.1 V B 1.3V C 1.2V D 1.5V 12. 下图电路,正确的输出逻辑表达式是( )。 A . CD AB Y += B . 1=Y C . 0=Y D . D C B A Y +++= 图2204 13. 下列消除竞争—冒险的方法中错误的是( )。 A 修改逻辑设计 B 引入封锁脉冲 C 加滤波电容 D 以上都不对 14. 连续86个1同或, 其结果是 ( ) A . 1 B . 0 C . 86 D . 286 15. 主从JK 型触发器是( )。

数字电子技术期末复习题库及答案完整版

数字电子技术期末复习 题库及答案 HEN system office room 【HEN16H-HENS2AHENS8Q8-HENH1688】

第1单元能力训练检测题 一、填空题 1、由二值变量所构成的因果关系称为逻辑关系。能够反映和处理逻辑 关系的数学工具称为逻辑代数。 2、在正逻辑的约定下,“1”表示高电平,“0”表示低电平。 3、数字电路中,输入信号和输出信号之间的关系是逻辑关系,所以数字电路也称为逻辑电路。在逻辑关系中,最基本的关系是与逻辑、或逻辑和 非逻辑。 4、用来表示各种计数制数码个数的数称为基数,同一数码在不同数位所代表的 权不同。十进制计数各位的基数是10,位权是10的幂。 5、8421 BCD码和2421码是有权码;余3码和格雷码是无权码。 6、进位计数制是表示数值大小的各种方法的统称。一般都是按照进位方式来实现计数的,简称为数制。任意进制数转换为十进制数时,均采用按位权展开求和的方法。 7、十进制整数转换成二进制时采用除2取余法;十进制小数转换成二进制时采用 乘2取整法。 8、十进制数转换为八进制和十六进制时,应先转换成二进制,然后再根据转换 的二进数,按照三个数码一组转换成八进制;按四个数码一组转换成十六进制。 9、逻辑代数的基本定律有交换律、结合律、分配律、反演律和 非非律。 10、最简与或表达式是指在表达式中与项中的变量最少,且或项也最少。 13、卡诺图是将代表最小项的小方格按相邻原则排列而构成的方块图。卡诺图的画图规则:任意两个几何位置相邻的最小项之间,只允许一位变量的取值不同。 14、在化简的过程中,约束项可以根据需要看作1或0。 二、判断正误题 1、奇偶校验码是最基本的检错码,用来使用PCM方法传送讯号时避免出错。(对) 2、异或函数与同或函数在逻辑上互为反函数。 (对) 3、8421BCD码、2421BCD码和余3码都属于有权码。 (错) 4、二进制计数中各位的基是2,不同数位的权是2的幂。 (对)

数字电路课程复习考试试题及答案A

《数字电路》复习纲要A 一、单项选择题 1、一位十六进制数可以用()位二进制数来表示。 A. 1 B. 2 C. 4 D. 16 2、A+BC=()。 A. A+B B. A+C C.(A+B)(A+C) D. B+C 3、一个8选1数据选择器的数据输入端有()个。 A. 2 B. 3 C. 4 D. 8 4、在下列逻辑电路中,不是组合逻辑电路的有()。 A. 译码器 B. 编码器 C. 全加器 D. 寄存器 5、为实现将JK触发器转换为D触发器,应使()。 A. J=D,K=D B. K=D,J=D C. J=K=D D. J=K=D 6、把一个五进制计数器与一个四进制计数器串联可得到()进制计数器。 A. 4 B. 5 C. 9 D. 20 7、数字电路是工作在数字信号下的电子电路,其数字信号特点是()。 A. 时间和数量上都是离散的 B. 时间离散,数量上连续 C. 时间连续,数量上离散 D. 时间和数量都是连续 8、下列电路中,属于脉冲产生电路的是()。 A. 单稳态触发器 B. 多谐振荡器 C. 施密特触发器 D. 编码器 9、对于同步时序电路而言,()。 A. 电路由同一种类型触发器构成 B. 电路中触发器必须具有复位功能 C. 电路中各触发器由同一时钟触发 D. 以上说法都不对 10、对于一个逻辑函数,下列说法正确的是()。 A. 最小和逻辑表达式肯定唯一 B.最小积逻辑表达式肯定唯一 C.最小和肯定和最小积一样简单 D.完全和逻辑表达式肯定唯一 二、多项选择题 1、逻辑函数的表示方法中具有唯一性的是()。 A. 真值表 B. 表达式 C. 逻辑图 D. 卡诺图 2、以下电路中可以实现“线与”功能的有()。 A. 与非门 B. 三态输出门 C. 集电极开路门 D. 漏极开路门 第 1 页共3 页

数字电子技术基础试题及答案

D C B A D C A B ++《数字电子技术》试卷 姓名:__ _______ 班级:__________ 考号:___________ 成绩:____________ 1.?有一数码10010011,作为自然二进制数时,它相当于十进制数(147),作为8421BCD 码时,它相当于十进制数(93 )。 2.三态门电路的输出有高电平、低电平和(高阻)3种状态。 3.TTL 与非门多余的输入端应接(高电平或悬空)。 4.TTL 集成JK 触发器正常工作时,其d R 和d S 端应接(高)电平。 5. 已知某函数?? ? ??+??? ??++=D C AB D C A B F ,该函数的反函数F = ( )。 6. 如果对键盘上108个符号进行二进制编码,则至少要( 7)位二进制数码。 7. 典型的TTL 与非门电路使用的电路为电源电压为(5 )V ,其输出高电平为(3.6)V ,输出低电平为(0.35)V , CMOS 电路的电源电压为( 3--18) V 。 8.74LS138是3线—8线译码器,译码为输出低电平有效,若输入为A 2A 1A 0=110时,输出 01234567Y Y Y Y Y Y Y Y 应为( )。 9.将一个包含有32768个基本存储单元的存储电路设计16位为一个字节的ROM 。该ROM 有( 11)根地址线,有(16)根数据读出线。 10. 两片中规模集成电路10进制计数器串联后,最大计数容量为( 100)位。 11. =(AB )。 12. 13 二、分) 1.?函数 A .F(A,B,C)=∑m (0,2,4) B. (A,B,C)=∑m (3,5,6,7) C .F(A,B,C)=∑m (0,2,3,4) D. F(A,B,C)=∑m (2,4,6,7) 2.8线—3线优先编码器的输入为I 0—I 7 ,当优先级别最高的I 7有效时,其输出012Y Y Y ??的值是( C )。 A .111 B. 010 C. 000 D. 101 3.十六路数据选择器的地址输入(选择控制)端有( C )个。 A .16 B.2 C.4 D.8

数字电路模拟试题 ()

《数字逻辑分析与设计》模拟试题 一、 单项选择题 1. 只有在时钟的下降沿时刻,输入信号才能被接收,该种触发器是( )。 A. 高电平触发器 B.下降沿触发器 C. 低电平触发器 D. 上升沿触发器 2. 下列电路中,属于时序逻辑电路的是( ) A. 编码器 B. 译码器 C. 数值比较器 D. 计数器 3. 若将一个TTL 与非门(设输入端为A 、B )当作反相器使用,则A 、B 端应如何连接( ) A. A 、B 两端并联使用 B. A 或B 中有一个接低电平0 C. 不能实现 4. 在二进制译码器中,若输入有5位二进制代码,则输出有( )个信号。 A. 32 B. 16 C. 8 D. 4 5. 同步RS 触发器的“同步”时指( ) A. RS 两个信号同步 B. Qn+1与S 同步 C. Qn+1与R 同步 D. Qn+1与CP 同步 6. 不是最小项ABCD 逻辑相邻的最小项是( ) A. A BCD B. A B CD C. A B C D D. AB C D 7. 与A B C ++相等的为( ) A. A B C ?? B. A B C ?? C. A B C ++ 8. 测得某逻辑门输入A 、B 和输出F 的波形如图1所示,则F(A ,B)的表达式是( ) A. F=AB B. F=A+B C.B A F ⊕= D.B A F = 图1 9. 某逻辑函数的真值表见表1,则F 的逻辑表达式是( )。

A. AC AB F+ = B. C B AB F+ = C. AC B A F+ = D. AC B A F+ = 10. 要实现 n n Q Q= +1 )。 11. 可以用来实现并/( ) A. 计数器 B. 全加器 C. 移位寄存器 D. 存储器 12. 下列触发器中没有计数功能的是() A. RS触发器 B. T触发器 C. JK触发器 D. Tˊ触发器 13. 某逻辑电路输入A、B和输出Y的波形如图2所示,则此电路实现的逻辑功能是() A. 与非 B. 或非 C. 异或 D. 异 或非 图2 14. 若两个逻辑函数相等,则它们必然相同的是() A. 真值表 B. 逻辑表达式 C. 逻辑图 D. 电路图 15. 能将输入信号转变成二进制代码的电路称为() A. 译码器 B. 编码器 C. 数据选择器 D. 数据分配器 二、填空题 1. 完成下列数制之间的转换(25.25) 10 =() 2 =() 8 A B C F 0 0 0 0 1 0 1 0 1 1 1 1 1 1

数字电子技术期末试题库

【数字电子技术】【试题库】 一、填空题 1.电子电路中的信号可分为两大类,即模拟信号和。 2.数字信号是时间上和上都不连续的信号。 3.十进制数176转换成二进制数为。 4.二进制数11010011转换成十进制数为。 5.所谓二-十进制编码,就是用若干位二进制码元按一定的规律排列起来表示十进制数的过程,也称为码。 6.目前,国际最通用的处理字母、专用符号和文字的二进制代码就是美国标准信息交换码,即码。 ?+?=。 7.二进制数的逻辑运算0111 8. 二进制数的逻辑运算11=。 ⊕=。 9. 二进制数的逻辑运算11 +?=。 10.利用逻辑代数公式,对右式进行化简,A A B ++?=。 11.利用逻辑代数公式,对右式进行化简,A B A B 12.逻辑代数的三条重要规则分别是代入规则、反演规则和。 13.由n个逻辑变量组成的不同最小项个数为个。 14.由n个变量组成的“与或”逻辑表达式,若其中每一项均是关于n个逻辑变量的最小项,则称这一表达式为。 15.利用卡诺图求解最简逻辑表达式时,需要画方格圈,其中有三条要求:将2n个值为1的方格划为一个方格圈,方格圈的数量应(越少/越多)越好,方格圈所含的方格数应(越少/越多)越好。 16.三极管作为开关元件,通常工作在截止区和。 17.集成门电路主要有TTL门电路和。 18.三态门电路的输出有高电平、低电平和共3种状态。 19.TTL集成门电路是由半导体构成的,由于它工作速度快,带负载和抗干扰能力强,因而在数字电路中应该广泛。 20.根据逻辑功能的不同特点,数字逻辑电路可以分为两大类:组合逻辑电路和。 21.在组合逻辑电路中,当输入信号改变状态时,输出端可能出现干扰脉冲,从而导致逻辑电路产生错误输出的现象,称为。 22.触发器有两种稳定状态,即0状态和。 23.RS触发器由两个门电路首尾相连构成。 24.为了避免基本RS触发器输出存在不确定的情况,对其输入端设置了相应的约束条件是。

数字电路复习题及答案.

《数字电子技术基础》复习题 一.选择填空题(以下每小题后均给出了几个可供选择的答案,请选择其中一个最合适的答案填入空格中) 1.处理 b 的电子电路是数字电路。 (a)交流电压信号(b)时间和幅值上离散的信号 (c)时间和幅值上连续变化的信号(d)无法确定 2.用不同数制的数字来表示2004,位数最少的是 d 。 (a)二进制(b)八进制(c)十进制(d)十六进制 3.最常用的BCD码是 b 。 (a)5421码(b)8421码(c)余3码(d)循环码 4.格雷码的优点是 c 。 (a)代码短(b)记忆方便(c)两组相邻代码之间只有一位不同(d)同时具备以上三者 5.两个开关控制一盏灯,只有两个开关都闭合时灯才不亮,则该电路的逻辑关系是 a 。 (a)与非(b)或非(c)同或(d)异或 6.已知F=ABC+CD,选出下列可以肯定使F=0的取值 d (a)ABC=011 (b)BC=11 (c)CD=10 (d)BCD=111 7.2004个1连续异或的结果是 a 。 (a)0 (b)1 (c)不唯一(d)逻辑概念错误 二、填空题(请在空格中填上合适的词语,将题中的论述补充完整)

1.5的5421BCD码是0101 这个是8421码的。 2.逻辑表达式中,异或的符号是⊕,同或的符号是⊙。 3.逻辑函数常用的表示方法有真值表、逻辑函数式、逻辑图和卡诺图。 4.用代数法化简逻辑函数需要一定的经验和技巧,不容易确定化简结果是否是最简。 5.用卡诺图化简逻辑函数,化简结果一般是最简与-或式。 一.选择填空题(以下每小题后均给出了几个可供选择的答案,请选择其中一个最合适的答案填入空格中) 1.实体(ENTITY)描述一个设计单元的 C D 的信息。 (a)行为、元件及连接关系(b)元件、子程序、公用数据类型(c)名称和端口的引脚等(d)可编译的设计单元 2.结构体(ARCHITECTURE)用于描述设计单元的 A D 。 (a)行为、元件及连接关系(b)元件、子程序、公用数据类型(c)名称和端口的引脚等(d)可编译的设计单元 3.在VHDL语言中,ARCHITECTURE中的语句都是 B 执行的语句。 (a)顺序(b)并行(c)即可顺序也可并行(d)无法确定4.在VHDL程序设计中,下面4个部分, C 不是可编译的源设计单元。 (a)ARCHITECTURE (b)ENTITY (c)PROCESS (d)PACKAGE

数字电子技术基础试题与答案

数字电子技术基础期末考试试卷 课程名称 数字电子技术基础 B 卷 考试形式 闭卷 考核类型 考试 本试卷共 3 大题,卷面满分100分,答题时间120分钟。 一、填空题:(每题2分,共10分) 1. 时序逻辑电路一般由 和 两分组成。 2. 十进制数(56)10转换为二进制数为 和十六进制数为 。 3. 串行进位加法器的缺点是 ,想速度高时应采用 加法器。 4. 多谐振荡器是一种波形 电路,它没有稳态,只有两个 。 5. 用6个D 触发器设计一个计数器,则该计数器的最大模值M= 。 二、化简、证明、分析综合题:(每小题10分,共70分) 1.写出函数F (A,B,C,D) =A B C D E ++++的反函数。 =F

2.证明逻辑函数式相等:()() ++++=+ BC D D B C AD B B D 3.已知逻辑函数F= ∑(3,5,8,9,10,12)+∑d(0,1,2) (1)化简该函数为最简与或式:

(2)画出用两级与非门实现的最简与或式电路图: 4.555定时器构成的多谐振动器图1所示,已知R1=1K Ω,R2=8.2KΩ,C=0.1μF。试求脉冲宽度T,振荡频率f 和占空比q。 图1

5.某地址译码电路如图2所示,当输入地址变量A7-A0的状态分别为什么状态 时,1Y 、6Y 分别才为低电平(被译中)。 图2 6.触发器电路就输入信号的波形如图3所示,试分别写出D 触发器的Q 和Q1的表达式,并画出其波形。 图3 ………………………密……………………封…………………………装…………………订………………………线………………………

《数字电路》期末模拟试题及答案

. 一、填空题 1. PN 结具有单向导电性。正向偏置时,多子以扩散运动为主,形成正向电流;反向 偏置时,少子漂移运动,形成反向饱电流。 2. 双极型晶体三极管输出特性曲线的三个工作区是放大区、截止区、饱和区。 3. 已知三态与非门输出表达式C AB F ?=,则该三态门当控制信号C 为高电平时, 输出为高阻态。 4. 十进制数211转换成二进制数是(11010011)2;十六进制数是(D3)16。 5. 将若干片中规模集成电路计数器串联后,总的计数容量为每片计数容量的乘积。 6. 若用触发器组成某十一进制加法计数器,需要四个触发器,有五个无效状态。 7. 同步RS 触发器的特性方程为n 1n Q R S Q +=+;约束方程为RS=0 。 8. 下图所示电路中,Y 1 =B A Y 1= 2Y 3 =AB Y 3= 二、选择题 1. 下列函数中,是最小项表达式形式的是____c _____。 A. Y=A+BC B. Y=ABC+ACD C. C B A C B A Y +?= D. BC A C B A Y +?= 2. 要实现n 1n Q Q =+,JK 触发器的J 、K 取值应为__d ___。 A . J=0,K=0 B. J=0,K=1 C. J=1,K=0 D. J=1,K=1 3.数值[375]10与下列哪个数相等_b __。 A . [111011101]2 B. [567]8 C. [11101110]BCD D. [1F5]16 4.属于组合逻辑电路的是_____b ______ A . 触发器 B. 全加器 C. 移位寄存器 D. 计数器 5.M 进制计数器状态转换的特点是:设定初态后,每来_c __个计数脉冲CP ,计数器重 新 B 2 B V CC Y 1

数字电路复习题及答案

数字电路复习题及答案

数字电路复习题 (注意:以下题目是作为练习和考试题型而设,不是考题,大家必须融会贯通,举一反三。)1、逻辑电路可以分为组合逻辑电路电路和时序逻辑电路电路。 2、数字电路的基本单元电路是门电路和触发器。 3、数字电路的分析工具是逻辑代数(布尔代数)。 4、(50.375)10 = (110010.011)2 = (32.6)16 5、3F4H = (0001000000010010 )8421BCD 6、数字电路中的最基本的逻辑运算有与、或、非。 7、逻辑真值表是表示数字电路输入和输出之间逻辑关系的表格。 8、正逻辑的与门等效于负逻辑的或门。 9、表示逻辑函数的4种方法是真值表、表达式、卡诺图、逻辑电路图。 其中形式惟一的是真值表。 10、对于变量的一组取值,全体最小项之和为

1。 11、对于任意一个最小项,只有一组变量的取值 使其值为1,而在变量取其他各组值时 这个最小项的取值都是0。 12、对于变量的任一组取值,任意两个最小项之 积为0。 13、与最小项ABC相邻的最小项有C A。 AB、C B A、BC 14、组合逻辑电路的特点是输出端的状态只由同一时刻输入端的状态所决定,而与先前的状态没有关系(或输出与输入之间没有反馈延迟通路;电路中不含记忆元件)。 15、按电路的功能分,触发器可以分为RS、JK、 D、T、 T’。 16、时序电路可分为同步时序逻辑电路和异步时序逻辑电路两种工作方式。 17、描述时序电路逻辑功能的方法有逻辑方程组(含驱动方程、输出方程、 状态方程)、状态图、状态表、时序图。 18、(251)10 =(11111011)2 =(FB)16 19、全体最小项之和为 1 。 20、按照使用功能来分,半导体存储器可分为

数字电子技术基础试题和答案

一、填空题:(每空3分,共15分) 1.逻辑函数有四种表示方法,它们分别是()、()、()和()。2.将2004个“1”异或起来得到的结果是()。 3.由555定时器构成的三种电路中,()和()是脉冲的整形电路。4.TTL器件输入脚悬空相当于输入()电平。 5.基本逻辑运算有: ()、()和()运算。 6.采用四位比较器对两个四位数比较时,先比较()位。 7.触发器按动作特点可分为基本型、()、()和边沿型; 8.如果要把一宽脉冲变换为窄脉冲应采用()触发器 9.目前我们所学的双极型集成电路和单极型集成电路的典型电路分别是()电路和()电路。 10.施密特触发器有()个稳定状态.,多谐振荡器有()个稳定状态。 11.数字系统按组成方式可分为、两种; 12.两二进制数相加时,不考虑低位的进位信号是()加器。 13.不仅考虑两个____________相加,而且还考虑来自__________相加的运算电路,称为全加器。 14.时序逻辑电路的输出不仅和_________有关,而且还与_____________有关。 15.计数器按CP脉冲的输入方式可分为___________和___________。 16.触发器根据逻辑功能的不同,可分为___________、___________、___________、___________、___________等。 17.根据不同需要,在集成计数器芯片的基础上,通过采用___________、___________、___________等方法可以实现任意进制的技术器。 18.4. 一个JK 触发器有个稳态,它可存储位二进制数。 19.若将一个正弦波电压信号转换成同一频率的矩形波,应采用电路。 20.把JK触发器改成T触发器的方法是。 二.数制转换(5分): 1、(11.001)2=()16=()10 2、(8F.FF)16=()2=()10 3、(25.7)10=()2=()16 4、(+1011B)原码=()反码=( )补码 5、(-101010B)原码=()反码=( )补码

数字电子技术模拟试题及答案

《数字电子技术》模拟试题 20分)一、填空题(每题2分,共 1511、十六进制数97 。,对应的十进制数为 0 时,输出为2”描述的是与运算的规则。、“至少有一个输入为 0 变量逻辑函数有16个最小项。、 4 3 运算。非和 4、基本逻辑运算有: 与、或 加器。半 5、两二进制数相加时,不考虑低位的进位信号是 电平。高 6、TTL器件输入脚悬空相当于输入 线、地址线和控制线。数据 7、RAM的三组信号线包括:位。最高8、 采用四位比较器对两个四位数比较时,先比较 15分)二、单项选择题(每个3分,共的国标逻辑符号中是异或门。B 1、图1 图1 C 。2、下列逻辑函数表达式中可能存在竞争冒险的是 B)(B?(A?C)F? B A )B?C)(?(A?BFF?(A?B)(B?C)F?(A?B)(B?C) D C 3、下面逻辑式中,不正确的是_ A___。 ABC?A?B?C B. A. A??ABA D. C. AA??B)A(BAAB?4、时序逻辑电路中必须 有___B___。 A. 输入逻辑变量 B. 时钟信号 C. 计数器 D. 编码器 5、有S1,S2两个状态,条件 B 可以确定S1和S2不等价。 A. 输出相同次态不同D. 次态相同C. 输出不同 B. 10分)三、简答题(共A??B左边=(A?)(A?B)(?1A?A?B)?解:分) 1、(证明:

4B?BA?A?A12、某逻辑函数的真值表如表所示,画出卡诺图。(6分)某逻辑函数的真值表 1 表 F B A C 0 0 0 0 1 1 0 0 1 0 1 0 X 1 1 0 X 0 0 1 0 0 1 1 1 1 0 1 X 1 1 1 分)四、分析题(20 Z 图2 分析图2所示电路的逻辑功能。 1)列出其时钟方程:(2分) CP1=CP↑;CP0=CP↑。 2)列出其驱动方程:(4分) Q1;K0==1 ;J0。Q0J1=;K1=1?Q?Q1或XX3)列出其输出方程:(1分)Z=XQ1Q0 n?1n?1?QQ1Q0Q?Q1?Q0?XQ1或Q1?Q0?XQ1Q04)求次态方程:4(分);10分)9)作状态表及状态图(5.

《数字电路》期末模拟试题及答案 3

1. 当PN 结外加正向电压时,PN 结中的多子______形成较大的正向电流。 2. NPN 型晶体三极管工作在饱和状态时,其发射结和集电结的外加电压分别处于___ ___偏置和_______偏置。 3. 逻辑变量的异或表达式为:_____________________B A =⊕。 4. 二进制数A=1011010;B=10111,则A -B=_______。 5. 组合电路没有______功能,因此,它是由______组成。 6. 同步RS 触发器的特性方程为:Q n+1 =______,其约束方程为:______。 7. 将BCD 码翻译成十个对应输出信号的电路称为________,它有___个输入 端,____输出端。 8. 下图所示电路中,Y 1 Y 3 =______。 1. 四个触发器组成的环行计数器最多有____个有效状态。 A.4 B. 6 C. 8 D. 16 2. 逻辑函数D C B A F +=,其对偶函数F * 为________。 A .()()D C B A ++ B. ()()D C B A ++ C. ()()D C B A ++ 3. 用8421码表示的十进制数65,可以写成______。 A .65 B. [1000001]BCD C. [01100101]BCD D. [1000001]2 4. 用卡诺图化简逻辑函数时,若每个方格群尽可能选大,则在化简后的最简表达式 中 。 A .与项的个数少 B . 每个与项中含有的变量个数少 C . 化简结果具有唯一性 A 1 A B 3

5. 已知某电路的真值表如下,该电路的逻辑表达式为 。 A .C Y = B . AB C Y = C .C AB Y += D .C C B Y += 化简下列逻辑函数,写出最简与或表达式: 1. 证明等式:AB B A B A B A +?=+ 2. Y 2=Σm (0,1,2,3,4,5,8,10,11,12) 3. Y 3=ABC C AB C B A C B A + ++? 分析设计题: 1.双四选一数据选择器如图所示,其功能表达式如下。现要实现八选一数据选择器的功能(地址信号为 A 2A 1A 0,数据输入端信号为 D 7 ~ D 0 ) ,请画出电路连接图。 1A A A A D Y =(2D Y =( 2.TTL

数字电子练习题

数字电路练习题第一部分门电路 一、填空题 1. 数字集成电路按开关元件不同,可分为TTL集成电路和CMOS集成电路两大类。 2. 数字电路中的三种基本逻辑门电路是与门、或门、非门。 3.三态门是在普通门的基础上增加控制电路构成的,它的三种输出状态是高电平、低电平和高阻态。 4. 与门、与非门的闲置输入端应接高电平;或门、或非门的闲置输 入端应接低电平。 5. 图1所示三态门在1 EN=时,Y的输出状态是高阻态。 6. 利用TTL与非门实现输出线与应采用OC 门,实现总线传输应采用三态门。 7. 图2为几种常见逻辑门电路的逻辑符号,试分别写出其名称和逻辑表达式。 名称逻辑表达式名称 (a)与门(b)非门 (c)与非门(d)或非门 8. 系称为或逻辑关系。 二、选择题 1. 下列几种逻辑门中,能用作反相器的是 C 。 A. 与门 B. 或门 C. 与非门 2. 下列几种逻辑门中,不能将输出端直接并联的是 B 。 A. 三态门 B. 与非门 C. OC门 3. TTL与非门的输入端在以下四种接法中,在逻辑上属于输入高电平的是 C 。 A. 输入端接地 B. 输入端接同类与非门的输出电压0.3V C. 输入端经10kΩ电阻接地 D. 输入端经51Ω电阻接地 4. TTL与非门的输入端在以下4种接法中,在逻辑上属于输入低电平的是 D 。 A. 输入端经10kΩ电阻接地 B. 输入端接同类与非门的输出电压3.6V C. 输入端悬空 D. 输入端经51Ω电阻接地 5. 逻辑电路如图3所示,该电路实现的逻辑关系为 C 。 A. Y AB = B. Y AB = C. Y AB = D. Y A B =+ 6. 图4为TTL逻辑门,其输出Y为 D 。 A. AB C + B. A BC + C. A B C ++ D. AB EN Y A B 图1 填空题5用图& A B Y (a) Y A B Y A (d) (c) 图2 填空题7用图 (b)

数字电子技术基础试题及答案

数字电子技术基础期末考试试卷 课程名称 数字电子技术基础 B 卷 考试形式 闭卷 考核类型 考试 本试卷共 3 大题,卷面满分100分,答题时间120分钟。 一、填空题:(每题2分,共10分) 1. 时序逻辑电路一般由 和 两分组成。 2. 十进制数(56)10转换为二进制数为 和十六进制数为 。 3. 串行进位加法器的缺点是 ,想速度高时应采用 加法器。 4. 多谐振荡器是一种波形 电路,它没有稳态,只有两个 。 5. 用6个D 触发器设计一个计数器,则该计数器的最大模值M= 。 二、化简、证明、分析综合题:(每小题10分,共70分) 1.写出函数F (A,B,C,D) =A B C D E ++++的反函数。 =F 2.证明逻辑函数式相等:()()BC D D B C AD B B D ++++=+

3.已知逻辑函数F= ∑(3,5,8,9,10,12)+∑d(0,1,2) (1)化简该函数为最简与或式: (2)画出用两级与非门实现的最简与或式电路图: 4.555定时器构成的多谐振动器图1所示,已知R1=1KΩ,R2=8.2KΩ,C=0.1μF。试求脉冲宽度T,振荡频率f和占空比q。 图1 5.某地址译码电路如图2所示,当输入地址变量A7-A0的状态分别为什么状态

时,1Y 、6Y 分别才为低电平(被译中)。 图2 6.触发器电路就输入信号的波形如图3所示,试分别写出D 触发器的Q 和Q1的表达式,并画出其波形。 图3 D= Q n+1= Q 1= 7. 已知电路如图4所示,试写出:

①驱动方程; ②状态方程; ③输出方程; ④状态表; ⑤电路功能。图4

相关文档
相关文档 最新文档