文档库 最新最全的文档下载
当前位置:文档库 › SRS Audio Sandbox最全设置图解WIN7安装SRS无声解决办法

SRS Audio Sandbox最全设置图解WIN7安装SRS无声解决办法

SRS Audio Sandbox最全设置图解WIN7安装SRS无声解决办法
SRS Audio Sandbox最全设置图解WIN7安装SRS无声解决办法

SRS Audio Sandbox最全设置图解WIN7安装SRS无声解决办法

声明:本软件为破解免费阶段!请于下载日的24小时内删除!如果喜欢本软件请支持正版!到微软官方下载使用!

由本软件所引起的争论和法律责任本人概不负责!

Vista的视觉效果是历来操作系统里最好的,然而有了超前的视觉效果,自然也需要超炫的听觉效果,

因此,向大家推荐SRS.SRS一直是一个不错的音效插件,这里献上最新的汉化版SRS Audio Sandbox 1.9.0.2 版本。

通常情况下,要达取得环绕立体声效果,除了音源必需是环绕立体声音源外,播放系统也必需要用四个声道才能实现。而早在九十年代,在音响中就已经在芯片级别上实现了虚拟环绕立体声,著名的有二种,SRS 虚拟环绕立体声和杜比公司的杜比虚拟环绕立体声。而最先研制出来的就是SRS 技术。

SRS Audio Sandbox 由U.S.A SRS Labs 公司根据人类听觉系统动力学(Dynamics of Human Hearing System) 和心理声学(Psychoacoustics) 研制出来的受专利保护的高级音响处理技术。SRS 虚拟环绕声技术通过模拟环绕声的声音传输函数,在双声道普通立体声系统上提供了令人叹为观止的环绕音效、重低音音效,完美营造出不同环境的声场,效果非常明显。它还特别针对音乐,音频和游戏用户定制了相应的音效预置,非常方便一般用户的使用,对于高级用户还可以调出高级选项进行微调,以达到满意的效果。

实际上目前有很多3D 效果增强软件,但是这些软件多是以播放器插件的形式进行播放的,而SRS Audio Sandbox 则与之完全不同,它利用操作系统的底层技术,以驱动程序的形式装入系统。实现了不论采用何种声卡,何种媒体播放程序,该软件都能为你在电脑上实现3D 音效。

支持的音效:

SRS WOW HD -——增强压缩和未压缩音频性能,在水平和垂直扩展声场宽度,并增强低音响应。

SRS TruSurround XT ——为多声道环绕立体声音源在双声道立体声输出环境中提供逼真的环绕立体声体验。

SRS Circle Surround II ——混合立体声或单声道音源输出为具有环绕立体声效果的5.1 或6.1 声道。

SRS Headphone 360 -——为头戴式耳机输出系统提供真实的5.1 环绕立体声效果。

高级音频特性

SRS 3D ——为单声道或是立体声环境提供3D环境音效。

SRS 3D 中央控制器——一个虚拟化的动态聆听空间以测试直达声与环绕声的混合效果。SRS 低音——调整低音效果

SRS FOCUS ——优化压缩音源的扬声器输出

SRS 清晰度——传递更具现场感更生动的声音效果。

SRS 对话清晰度——使电影或是视频中的语音表现更加清晰、生动。

支持音效卡

====================================================

只支持基于PCI(或PCMCIA )的声卡,暂时还不支持USB和FireWire

下面列出了一些已知可以支持的设备,实际上其它还有一些声卡也能正常工作。Creative Labs的Audigy系列(包括Audigy 2和Audigy 2 ZS)

Creative Labs的Sound Blaster Live!系列

基于SoundMAX的

基于Realtek的

基于Conexant的

M-Audio Delta 1010系列

以下的设备可以支持,但可能会存在一些小问题:

M-Audio Revolution

Turtle Beach Santa Cruz ——只支持两声道输出

如需进一步了解,请查看常见问题解答中所包含的帮助文件。

此软件曾号称可使百元级音箱拥有千元级音响效果,的确不凡,我的板载声卡带的Realtek HD 音频管理器,自从有了SRS的取代,现在也无用武之地了

下面就我个人使用方法简单介绍,当然,已经拥有好音响的朋友可以免看(别笑我寒酸),如有不周到的地方,还望指点,我的音箱小没有低音炮,使用SRS后,音效也有了质的飞跃

由于图已做好,就还是上以前的图了,我还是使用寒星1.9破解注册汉化版,和沈忠良汉化版只是文字翻译稍为不同而已,其它都没变,位置也没变.仅供参考.

在设置和调试过程中,请关闭播放器.......(有了SRS就可以把播放器的音效均衡关闭,否则影响效果)

由于主板声卡原因,小异大同,如果图不对号,下面的附件图上方也有描述,由于文字颜色是灰的,容易被忽略

WIN7下硬盘安装Ubuntu双系统

Win7下硬盘安装Ubuntu11.04双系统 欢迎大家访问我们的网站:Linux起点:https://www.wendangku.net/doc/c812661738.html, 起点论坛:https://www.wendangku.net/doc/c812661738.html,/bbss 起点超级Q群:㈠10218442 ㈡92186523 ㈢90282859 ㈣106637392 一、准备工作(在win7下操作完成) 1.从官网https://www.wendangku.net/doc/c812661738.html,上下载镜像文件,大小接近700M。 2.下载并安装easybcd。easybcd是一款很优秀的系统引导软件,功能强大,最关键的是傻瓜式一站式简易操作,极其适合新手使用!网上很多说用grubdos安装,但对于菜鸟的我来说,操作太麻烦而且容易引导失败! 3.腾出一个空盘,点击右键格式化之后把它删除(这个盘最好是在硬盘的后面,因为Windows系统不能识别Linux系统分区,如果将ubuntu装在D盘,将D盘删除并建立Linux系统分区后,原来的E盘就会变成D 盘,安装在E盘的软件会出现系统注册表路径不对称,要重新安装一遍软件)。网上很多说使用磁盘压缩功能以整理出空白分区,这个方法也可行,但这个盘要保证有10G空间以上比较稳妥。 4.安装好easybcd2.0后,启动软件: 按照上图的序号依次点击“Add New Entry”——“Neo Grub”——“Install”,点到“Install”后出面下面的界面,再点“Configure”。

点击“Configure”按钮后,会出现一个记事本文件,将下面的代码粘贴到文件尾部: title Install Ubuntu root (hd0,0) kernel (hd0,0)/vmlinuz boot=casper iso-scan/filename=/ubuntu-11.04-desktop-i386.iso ro quiet splash locale=zh_CN.UTF-8 initrd (hd0,0)/initrd.lz 如下图:

一键U盘安装Win7系统教程

一键U盘安装Win7系统教程 如今安装操作系统变得超简单,菜鸟也可以轻松一键U盘安装Win7系统了。下面本文与大家分享下如何轻松使用U盘一键安装Win7系统,每个菜鸟朋友均可以轻松学会U盘装Win7系统,大家知需要准备U盘,然后制作下U盘启动,再将系统文件放置U盘即可,非常容易上手,下面一起来看今天的教程吧。 准备:一键U盘安装Win7系统所需工具: 1、U盘一个(容量至少8G以上,4G勉强也可以); 2、下载好Win7系统,制作好U盘启动; 说明:如今U盘都很便宜,8G以上U盘也不过几十元,大家可以到电脑城或者网购U盘均可,最好选用8G以上U盘,因为如今的Win7系统文件普遍在4G左右,Win8系统更大,因此U盘容量过小,系统文件无法放入。 购买好之后,将U盘插入电脑,然后再网上下载一个U盘启动软件,一键制作U盘启动,此类软件很多,比如大白菜、U大师、电脑店、老毛桃等等均可,随便下载一个软件安装,之后即可按照提示,一键制作好U盘启动,超简单。 将U盘制作成了启动盘之后,我们就需要下载Win7系统了,由于Win7系统很大,下载需要很长时间,建议大家可以提前晚上睡觉前将迅雷7打开挂着电脑下载Win7系统文件,记得设置一下下载完成之后自动关机,然后就去睡觉吧,第二天起来就下载好了,无需干等着下载。

百度搜索Win7系统下载 找到自己喜欢的Win7系统下载 猜你用的到:迅雷7下载完成后自动关机的设置方法 至此制作好了U盘启动,并且下载好了Win7系统,那么准备工作就完成了,下面就可以进入一键U盘安装Win7之旅吧,以下演示的是使用U大师制作的U 盘启动教程,其他诸如大白菜、电脑店等基本大同小异。 一键U盘安装Win7系统步骤:

U盘安装win7(32位)系统原版安装版图文教程_超详细!!!

此教程只适用win7(32位) 注意!备份驱动程序 设置U盘启动教程参考地址: https://www.wendangku.net/doc/c812661738.html,/view/603a01ca0c22590102029d41.html?st=1 U盘制作教程参考地址: https://www.wendangku.net/doc/c812661738.html,/view/dd9a522dccbff121dd368343.html?st=1 Win原版下载地址: ed2k://|file|cn_windows_7_ultimate_with_sp1_x86_dvd_u_677486.iso|2653276160|75 03E4B9B8738DFCB95872445C72AEFB|/ 电脑店U盘工具地址:https://www.wendangku.net/doc/c812661738.html,/ 进PE利用虚拟光驱工具进行安装 以下安装过程是在虚拟机上完全安装的,和实际安装过程完全一样。 具体步骤: 1、先使用电脑店U盘启动盘制作工具制作完U盘启动。 注意:进入启动菜单,然后选择第十一个“win7/win8”选项,进入第一个PE。

2、插入U 盘进入PE,先把要装系统的盘格式化一下。

行加载。

4、打开光盘镜像后如图所示,之后把这个程序最小化就不用管他了,现在打开“我的电脑”,是不是多了一个盘符,这就说明光盘镜像已经顺利得加载到虚拟光驱中了。下面执行光盘根目录下的 SETUP.EXE 开始安装(这个步骤,每个安装盘可能有所不同,有的就是SETUP.EXE ,也有叫做 WINNT32.BAT,也可能叫做 XP安装器之类的,本文章的例子就是SETUP.EXE)(打开SETUP.EXE安装之前注意拔掉U盘,不然文件会自动写进U盘里,无法 进行下一步重启安装)。 5、双击SETUP.EXE打开,会出现安windows7安装欢迎安装窗口,点击“现在安装”。

win7硬盘安装过程图解 伤逝的安详

win7硬盘安装过程图解伤逝的安详 看到很多同学使用老的方法硬盘安装WIN7都杯具了,其实是方法改了,而且老的XP的PE现在也没法在WIN7 下安装,所以做了个图解安装。 首先,肯定是你必须有一个Windows7的系统安装镜像或盘,没有的可以去这里下载: 系统下载系统安装系统美化刻录系统盘教程全汇总一 网打尽! 然后将WIN7的安装包解压出来,用winrar、winzip、7Z、好压、软碟通等等都可以解压,一般情况下,你下载的都是ISO格式的镜像,解压出来后会有下图这样的文件: 将这些文件复制到一个非系统盘的根目录下,系统盘大多数都是C盘,而根目录就是某个磁盘,比如F盘双击后进去的界面,一定不要放到文件夹里!! 可以对照一下,是否有这些文件 那个NT6的文件可以暂时忽略,下面会说来历的 下面就需要一个软件来帮忙了,就是NT6 HDD Installer,目前有两个版本,2.81和2.85,区别是2.85可以使用鼠标点击,2.81为键盘操作

NT6 HDD Installer 2.81版下载: NT6 HDD Installer 2.85版下载: 下载后放到之前存放win7安装文件的盘符的根目录,也就是和win7的安装文件放到一起 然后运行,会出现下面的窗口,如果您现在的系统是XP可以选择1,如果是vista或者win7选择2,大家看一下就能明白,选择后按回车开始安装,1秒钟左右结束,之后就是重启系统了 在启动过程中会出现如下界面,这时选择新出来的nt6 hdd Installer mode 1选项: OK,下面就开始安装了哦,下图这步很简单: 下图一定要点那个现在安装 许可条款: 这里强烈建议选择滴定仪安装,也就是第二个,第一个升级会非常非常的缓慢,得不偿失 这里选择右下角的驱动器选项(高级)

win7安装版硬盘安装过程图解

]win7安装版硬盘安装过程图解 看到很多会员使用老的方法硬盘安装WIN7都失败了,虚拟光驱安装也不行了,其实是方法改了,而且老的XP的PE现在也没法在WIN7安装版下安装,所以做了个图解安装。(感谢anlyandyli 热心会员提供) 首先,将WIN7的安装包解压出来,一般情况下,你下载的都是ISO格式的镜像,解压出来后会有下图这样的文件: 将这些文件复制到一个非系统盘的根目录下,系统盘大多数都是C盘,而根目录就是某个磁盘,比如F盘双击后进去的界面。 可以对照一下,是否有这些文件 ps:那个NT6的文件可以暂时忽略,下载在下面。 下面就需要一个软件来帮忙了,就是NT6 HDD Installer,1楼里面已经提供了文件,可以下载一下,下载后放到之前存放win7安装文件的盘符的根目录,也就是和win7的安装文件放到一起 然后运行,会出现下面的窗口,如果您现在的系统是XP可以选择1,如果是vista或者win7选择2,大家看一下就能明白,选择后按回车开始安装,1秒钟左右结束,之后就是重启系统了

在启动过程中会出现如下界面,这时选择新出来的nt6 hdd Installer mode 1选项: OK,下面就开始安装了哦,下图这步很简单:

下图一定要点那个现在安装 许可条款:

这里强烈建议选择自定义安装,也就是第二个,第一个升级会非常非常的缓慢,得不偿失 OK,下面的步骤几乎都是按照提示就可以了,比较简单,就不安装下去了, 本帖隐藏的内容 nt6 hdd installer v2.8.5.rar(685.9 KB, 下载次数: 1361)

Win7系统安装过程中硬盘分区

Win7系统安装过程中硬盘分区 阿斯兰萨拉发表于 2012-10-12 Win7在安装过程中建立的都是主分区,只能有4个,所以如果想要分出超过4个分区,就需要分出扩展分区,而扩展分区默认是无法用的,我们需要在扩展分区上再次分区,分出逻辑分区,这样才可以用。 一、安装系统过程中分区 Win7在安装过程中分区和XP有两个最大的不同: 1、会多一个系统保留分区(主分区) 2、新建的分区都是主分区 1、在下图的步骤时,必须选择“自定义(高级)” 2、选择“驱动器选项(高级)”

3、这时会多出删除、格式化、新建三个选项,而新建默认是灰色的无法选择

4、选中未分配的空间,新建变成彩色,点击新建。如果是重新分区,先删除原有分区。 5、按照默认的分区建立顺序,第一个建立的就是C盘,输入你要建立分区的大小,这里的单位是MB,1G=1024MB

6、点击确定 7、按照刚才的方法,建立其它分区,但要注意,就像本楼最开始说的,在安装过程中建立的都是主分区,只能有4个,如下图,一个系统保留分区,3个主分区,虽然还有19.5GB的未分配磁盘空间,但是“新建”又变成了灰色,无法新建。

所以,(1)如果你正好想要建立4个分区的话,可以参考此帖(删除系统保留分区的方法),那么分 区就完毕了,选择C盘的分区,就可以装系统了;(2)如果想要建立超过4个分区,可以只分出C盘,剩下的保持原来的未分配状态,请看(超过4个分区的分区方法) 二、超过4个分区的分区方法 上面介绍了主分区和扩展分区,所以,如果想要分出超过4个分区,就要使用扩展分区 A、建立扩展分区 1、下载并运行DiskGenius(下载地址) 另外装win7一定不能用PQmagic分区,不然系统必死。 2、右键点击灰色的未分区空闲空间,选择建立新分区

U盘安装win7系统原版安装版图文教程

安装原版Win7的方法 注意备份驱动程序 设置U盘启动教程参考地址: https://www.wendangku.net/doc/c812661738.html,/view/6c5d476327d3240c8447ef2a.html U盘制作教程参考地址: https://www.wendangku.net/doc/c812661738.html,/view/6ac2d192dd88d0d233d46a35.html? st=1 Win旗舰版下载地址: ed2k://|file|cn_windows_7_ultimate_with_sp1_x86_dvd_u_6774 86.iso|2653276160|7503E4B9B8738DFCB95872445C72AEFB|/ 电脑店U盘工具地址:https://www.wendangku.net/doc/c812661738.html,/ 进PE利用虚拟光驱工具进行安装 以下安装过程是在虚拟机上完全安装的,和实际安装过程完全一样。 具体步骤: 1、先使用电脑店U盘启动盘制作工具制作完U盘启动。 注意:进入启动菜单,然后选择第十一个菜单,进入第一个PE。

2、插入U 盘进入PE,先把要装系统的盘格式化一下。

行加载。

4、打开光盘镜像后如图所示,之后把这个程序最小化就不用管他了,现在打开“我的电脑”,是不是多了一个盘符,这就说明光盘镜像已经顺利得加载到虚拟光驱中了。下面执行光盘根目录下的 SETUP.EXE 开始安装(这个步骤,每个安装盘可能有所不同,有的就是SETUP.EXE ,也有叫做 WINNT32.BAT,也可能叫做 XP安装器之类的,本文章的例子就是SETUP.EXE)(打开SETUP.EXE安装之前注意拔掉U盘,不然文件会自动写进U盘里,无法进行下一步重启安装)。 5、双击SETUP.EXE打开,会出现安windows7安装欢迎安装窗口,点击“现在安装”。

硬盘安装win7系统过程详细图解

硬盘安装win7系统过程详细图解 2011-9-7 16:58 husquan_KM 摘要: 看到很多同学使用比较旧的方法来使用硬盘安装WIN7都没有成功了,其实是硬盘安装WIN7方法改了,今天做了个图解硬盘安装WIN7的文章,其实也很简单。 1、下载解压WIN7的安装包用winrar、winzip、7Z等等都可以解压, ... 看到很多同学使用比较旧的方法来使用硬盘安装WIN7都没有成功了,其实是硬盘安装WIN7方法改了,今天做了个图解硬盘安装WIN7的文章,其实也很简单。 1、下载解压WIN7的安装包 用winrar、winzip、7Z等等都可以解压,一般情况下,你下载的都是ISO格式的镜像,你可以将后缀名ISO改为RAR,解压出来后会有下面这样的文件: 2、复制Win7文件到根目录 将这些文件复制到一个非系统盘的根目录下,系统盘大多数都是C盘,而根目录就是某个磁盘,比如F盘双击后进去的界面,注意:一定不要放到文件夹里。 3、下载nt6_hdd软件 下载nt6_hdd_installer:https://www.wendangku.net/doc/c812661738.html,/portal.php?mod=attachment&id=2359 下载nt6_hdd_installer:https://www.wendangku.net/doc/c812661738.html,/portal.php?mod=attachment&id=2360 下载nt6_hdd软件,下载后放到之前存放win7安装文件的盘符的根目录,也就是和win7的安装文件放到一起。如图:

4、选择自己当前的系统版本 运行nt6_hdd,会出现下面的窗口,如果您现在的系统是XP可以选择1,如果是vista或者win7选择2,选择后按回车开始安装,1秒钟左右结束,之后就是重启系统了。 5、开始安装Win 7系统 在启动过程中会出现如下界面,这时选择新出来的nt6 hdd Installer mode 1选项,下面就开始安装了,按照安装步骤一步一步就行了,其中需要设置的地方,自己看着办。

win7硬盘安装详解(可格式化)

1.下载cn_windows_7_ultimate_x86_dvd.iso 最好下载纯净版,官方原版什么的。 2.用winrar或者虚拟光驱解压或提取到d盘根目录文件夹为D:\win7 3.把文件夹中的boot.wim和boot.sdi复制到d盘根目录,解压后D:\win7(boot.wim在sources 文件夹可以找到,boot.sdi在boot文件夹可以找到) 4.用记事本保存下列文字,该记事本文件名为winre.bat(txt格式改成bat格式) @echo off REM REM {ad6c7bc8-fa0f-11da-8ddf-0013200354d8} REM set RAMDISK_OPTIONS={ad6c7bc8-fa0f-11da-8ddf-0013200354d8} REM REM {572bcd56-ffa7-11d9-aae0-0007e994107d}, GUID for the WinPE boot entry REM set WINPE_GUID={572bcd56-ffa7-11d9-aae0-0007e994107d} REM REM Set Timeout REM bcdedit.exe -timeout 5 REM REM Create Ramdisk device options for the boot.sdi file REM bcdedit.exe -create %RAMDISK_OPTIONS% -d “Ramdisk Device Options” -device bcdedit.exe -set %RAMDISK_OPTIONS% ramdisksdidevice partition=D: bcdedit.exe -set %RAMDISK_OPTIONS% ramdisksdipath \boot.sdi REM REM Create WinRE boot entry REM bcdedit.exe -create %WINPE_GUID% -d “Windows RE” -application OSLOADER bcdedit.exe -set %WINPE_GUID% device ramdisk=[D:]\boot.wim,%RAMDISK_OPTIONS% bcdedit.exe -set %WINPE_GUID% path \windows\system32\boot\winload.exe bcdedit.exe -set %WINPE_GUID% osdevice ramdisk=[D:]\boot.wim,%RAMDISK_OPTIONS% bcdedit.exe -set %WINPE_GUID% systemroot \windows bcdedit.exe -set %WINPE_GUID% detecthal yes bcdedit.exe -set %WINPE_GUID% nx optin bcdedit.exe -set %WINPE_GUID% winpe yes bcdedit.exe -displayorder %WINPE_GUID% /addlast

重装系统Win7步骤和详细教程(2014版_附系统映像)

本贴针对那些不会重装系统的用户,虽然重装系统很简单,但是还是有一些小白是不会重装的,本教程现在就详细的讲一下重装系统的具体步骤,本帖以重装Windows7系统为例,讲述一下重装系统的详细步骤。 首先,重装系统之前需要将电脑中的重要资料备份和转移,这是非常重要的,备份完成后,下面我们开始重装系统 第一步 下载Onekey ghost下载地址 https://www.wendangku.net/doc/c812661738.html,/soft/detail/23409.html 下载Windows7映像下载地址 https://www.wendangku.net/doc/c812661738.html,/s/15wrIA 注意:以上两个都不要保存在C盘 第二步 打开Onekey ghost,选择还原分区,在GHO WIM ISO映像路径选择刚下载的Windows7.GHO。如下图

接下来, 在底下选择还原到C盘(点击C盘,然后那C盘一条会变蓝)。如下图,然后点击确定。 点击确定后,会弹出一个提示框(如下图),询问是否重启,点击是。接下来计算机会重启。 计算机重启后,会出现下图的情况,什么都不需要做,等进度条走完即可

进度条走完后,计算机会再次重启,Windows会自动安装,什么都不需要做,等计算机进入桌面后,重装系统就算完成了。 重装完成后需要做的 1.检查系统是否经过正版激活 方法:控制面板--系统,拉到最下面看看是否激活(如果显示状态不可用,需要等一会) 如果未激活,下载小马激活工具激活https://www.wendangku.net/doc/c812661738.html,/s/1gdBUUGF 2.根据自己的使用习惯调整系统设置 3.将备份的数据导入回去 4.下载安全软件,个人推荐卡巴斯基,AVG,nod32,诺顿,小红伞,这些杀软都进入国内了,杀毒能力明显是比国产强的,这几个有的有免费版,收费的其实在淘宝几块钱就能买到一年的激活码,国产的诸如电脑管家之类的可以当做系统辅助类软件用,因为国外的杀软基本都不带清理垃圾,系统优化这之类的功能,可以两者结合使用。 5.根据自己使用习惯下载软件等等

硬盘安装win7全过程傻瓜式教程

硬盘安装win7全过程傻瓜教程 1.下载win7镜像文件 本人用的是" 异次元"下载的 cn_windows_7_ultimate_x86_dvd_x15-65907.iso 地址:https://www.wendangku.net/doc/c812661738.html,/windows7-msdn-iso.html 我用迅雷下载了好几个小时才搞定的,下载速度有些慢,50-100K左右,最慢的时候只有10K; 下载好之后直接解压缩出来到非系统盘,即D,E等等,要为NTFS格式的盘; 2.下载vista hdd installer文件包,内含 zchzr,zchzr.mbr,boot.ini,3个文件;vista3个文件直接拷贝到c 盘, XP拷贝zchzr,zchzr.mbr2个,boot.ini去XP的C盘去更改,最后面加上C:\zchzr.mbr="Vista Hard Disk Installer " 或我的电脑,属性,高级里去加上C:\zchzr.mbr="Vista Hard Disk Installer " vista hdd installer文件包可以去google直接搜索去下载到;给个文件包下载: https://www.wendangku.net/doc/c812661738.html,/uploads/88329/45ae335e30c84443b0b52 f8b2d993c33.rar

拷到C盘根目录下: XP的系统更改boot文件:

3.一切准备Ok后重启电脑. 出现选择画面: 选择Vista Hard Disk Installer 4.windows is loading files...

5.选择语言,一直安装下去..

正版Windows7系统安装方法

随着Windows7的普及,想使用WIN7操作系统的人确实不在少数,但多部分人都不懂得如何安装,看别人使用,而自己却不能使用!去电脑城买个盗版的系统盘吧,总是被强制安装很多软件,有时还有毒,很不安全!那就只能用XP,总感觉心理挺难受的吧。 好了废话不多说了,现在我就教大家如何使用虚拟光驱安装官方正版的WIN7系统吧。(注意:这里教安装的是单系统的WIN7,想装双系统只须将WIN7系统安装在原系统以外的分区即可,这里不进行详细讲述。) 虽然微软并没有免费发布出WIN7旗舰版提供下载,但是只要你想要。一切还是有的,嘿嘿 Windows 7 x86版下载地址:https://www.wendangku.net/doc/c812661738.html,/ghostxp/966.htm Windows 7 x64版下载地址:https://www.wendangku.net/doc/c812661738.html,/ghostxp/965.htm x64 是指CPU是64位版本的。x86 是指CPU是32位版本的。如果你的CPU是64位的。可以安装64位的,也可以安装32位的,反过来只能安装32位的。又普及了一个知识,这时 候可以有掌声了 下面我们正式开始: 1.我们将下载好的WIN7镜像用虚拟光驱载入,如果电脑开启了自动播放功能就会弹出如下图所示对话框

如果没有这个对话框的用户不要着急,我们可以进到虚拟光驱将这个程序打开就可以实现相同的效果。

在上图中,我们看到的这些文件就是用虚拟光驱载入的WIN7镜像文件所产生的,此时我们只需要运行“setup.exe”程序就会出现如下图所示的对话框

我们点击“现在安装(I)”按扭开始安装。等会就会出现如下图窗口

WIN7硬盘安装教程

想办法把c盘弄成nfts格式,切记~ 实现方法:开始-运行-cmd-输入 convert c:/FS:NTFS 同理 D盘 convert d:/FS:NTFS win7 使用心得 rc 7100 微软 vista 硬盘安装图解 xp 新系统 c盘 转化格式 fat fat32 本人用以下教程成功装入win7,本机机器低配置,07年联想的廉价本..(可想而知)其实硬件要求不高的~ 不过可以流畅运行win7,虽说是英文版,中文支持不好,不过上网聊天没有关系,搜狗可以用起来的! 界面很华丽,很美。内存占500左右,比vista少了很多! 以最新的WIN7 7100版为例。 1.在原有系统下(以XP为例),先将下载好的Win 7 的ISO镜像用WinRAR解压(或者用虚拟光驱加载镜像后拷贝所有文件)至D:\win7目录下(也可以自己定义文件夹,这里只是个例子)。 2.接着复制D:\win7下的 bootmgr 文件(注意没有扩展名的)和 boot目录至C盘根目录下,如提示是否替换,全部替换即可。在C:\根目录下新建一个名为sources文件夹。接着将D:\win7下的sources文件夹内的boot.wim文件复制到C盘sources文件夹下。 3.接着运行DOS命令行(或者按win+R,在运行处输入CMD,再回车即可打开),输入C:\boot\bootsect.exe /nt60 C: 回车 4.重启电脑显示正在启动安装程序,加载boot.wim。这个过程可能比较长,请等待…… 5.等到启动项出现选择菜单,选择第一个安装。。 6.选择语言的时候,记得要选择1为ENGLISH 2 为 CHINESE(SIMPLIFIED,PRC) 3 是 CHINES(SIMPLIFIED-US KEYBOARD) 7。出现有一个INSTEALL NOW。切记,不要头脑发热!不要按它。选择REPAIR YOUR COMPUTER (修复电脑)。 这里关键,我一开始上来就按立即安装了,呵呵。 然后按最下面那个调出命令提示符(CMD)。再输入你解压的WIN7路径下的SOURCES目录下SETUP.EXE。。如输入d:\win7\sources\setup 然后就进入下一步安装了。。

Windows7系统下载安装(7600旗舰版)+激活方法图文教程

Windows7系统下载安装(7600旗舰版)+激活方法图文教程 旗舰, 教程 随着Windows7(以下简称WIN7)的出现,着实让使用Vista的用户可以喘口气了,传说中WIN7有着Vista的华丽效果,XP的速度,在配置要求方面也是普通机器就可以玩转的,而且在兼容方面已经可以跟XP比拟了,当然,最大的消息就是预装了Vista正版操作系统的用户可以免费升级至WIN7操作系统。虽然微软并没有正式发布出WIN7正式版提供下载,但是网上出现的众多所谓的7600RTM旗舰版被称为微软的泄密版,下载地址:https://www.wendangku.net/doc/c812661738.html,/windows7xt/504.htm,经过1个星期的测试,确实可以实现正版激活,虽然测试阶段并不想微软公布那样好,但是已经接近90%了,相比Vista而言已经好了不知道多少倍了,而且对比XP那简单的画面以及安全方面也提高了不少,相信大家已经 很期待尝试WIN7了吧! 经过了长时间的观察,想使用WIN7操作系统的人确实不在少数,但多部分人都不懂得如何安装,看别人使用,而自己却不能使用,总感觉心理挺难受的吧。好了废话不多说了,现在我就教大家如何使用虚拟光驱安装WIN7系统吧。(注意:本教程只负责教授安装单系统的WIN7,想装双系统只须将WIN7系统安装在原系统以外的分区即可,这里不进行详细讲 述。) 虚拟光驱Daemon Tools 4.30下载地址:https://www.wendangku.net/doc/c812661738.html,/ghostxp/834.htm 下面我们正式开始: 1.我们将下载好的WIN7镜像用虚拟光驱载入,如果电脑开启了自动播放功能就会弹出如下 图所示对话框

教你如何用U盘装win7系统(图解)

用UltraISO制作U盘启动盘及设BIOS从U盘启动的方法 下面为大家介绍一种超简单的U盘启动盘制作方法,大家一看都会,所需要的只是一个小软件(UltraISO),空间用UltraISO制作高兼容多合一启动U盘(GHOST+DOS工具+WinPE的启动盘)有提供下载。你平时从网上下载的可启动GHOST光盘映像文件,或者WINPE光盘也可以制作。 1、首先,下载好软件后,解压出来,直接运行,点击打开按钮,如图: 2、找到你准备好的GHOST光盘映像文件或者WINPE光盘,都可以

3、选择好后,点击打开,这样,光盘映像被加载到软件当中,选择启动光盘菜单中的写入硬盘映像。

4、这样,弹出写入硬盘映像信息,这里,值得注意的是,如果是硬盘驱动器的选择与写入方式,选择好硬盘驱动器后,选择写入方式,如果想要使制作出来的U盘启动盘兼容性好点的话,建议选择USB-ZIP模式。 然后点击写入。

5、这样,弹出格式化提示,我们当然要选择是了。 6、选择完毕后,就开始直接写入U盘了。

写入,制作完毕后,会显示刻录成功的提示,我们点返回就OK了,怎样,这个U盘启动盘就这样刻录好了,方便,简单吧! 剩下的工作就是进入BIOS,将U盘调整为第一磁盘,第一启动,然后利用U盘进行相关的工作了。 下面就是我们最关键的一步了,设置U盘第一启动,如果这步不会,那就等于前功尽弃了!首先,将你制作好的可启动U盘插到你的电脑上,然后,进入BIOS进行调整第一引导顺序了,因主板不同,BIOS多少有点区别,以往用光盘装系统,必须调整启动项为光驱启动,而现在我们要用U盘装系统,所以要调整为U盘启动。 下面我们举例两个不同bios的调整方法。 1、按DEL进入BIOS,进入boot选项卡,选择“hard disk drives"(硬盘驱动器)

装win7系统常用几种方法图文详解

目录 1#光盘法 2#硬盘法 3#虚拟光驱法 4#U盘法 --------------------------------------------------------------------------- 1#光盘法 一、准备工作 1、先准备好一张Windows 7旗舰版安装光盘,并检查是否支持自启动。 2、重新启动电脑,将光盘放进光驱并设置为光驱优先启动。 3、可能的情况下,在运行安装程序前用磁盘扫描程序扫描所有硬盘,检查硬盘错误并进行修复。否则安装程序运行时如检查到有硬盘错误即会很麻烦。 4、用纸张记录安装文件的产品密匙(安装序列号)。可跳过,如果中间需要要你填入WIN7密钥时,可跳过,使用网上破解程序进行破解。 5、可能的情况下,从网上下载最新的支持WIN7的主板、网卡、显卡等主要硬件驱动程序,进行备用。 6、如果你想在安装过程中格式化C盘或D盘(建议安装过程中格式化C盘),请备份C盘或D盘有用的数据。 二、用光盘启动系统 重新启动系统,并把光驱设为第一启动,保存设置并重启。 设置方法: 1.启动计算机,并按住DEL键(有的是按F2或者F10,具体请看电脑主板的有关说明)不放,直到出现BIOS设置窗口(通常为蓝色背景,黄色英文字)。 2.选择并进入第二项,“BIOS SETUP”(BIOS设置)或者“Advanced BIOS Features”。在里面找到包含BOOT文字的项或组,并找到依次排列的“FIRST” “SECEND”“THIRD”三项,分别代表“第一项启动”、“第二项启动”、“第三项启动”。这里我们按顺序依次设置为“光驱”“硬盘”...即可。(按↑↓键或者PAGEUP/PAGEDOWN键将光标定在“CDROM”项后点确认,只要把光驱设置成“FIRST”即可,其它的都是↓键将光标定在“硬盘”,这时按回车进入即可看),改好后进行保存。 3.选择好启动方式后,按F10键保存,出现E文对话框,按“Y”键(可省略),

怎么样封装WIN7系统教程

教你封装制作Windows7系统网上有很多的各种修改版的系统,如当年的xx花园,如x度,xx风等等,作为熟悉电脑的老手们是只使用纯净版系统的,毕竟,网上的修改版系统即使没有病毒木马,也给你塞了一堆你不喜欢的东西。可是,每次自己重装纯净版系统还是要安装很多自己常用的软件,还要去挨个做下系统配置来适应自己的习惯,自己家的电脑、公司的电脑都可能碰到这个问题,这个时候,我们就可以考虑动手DIY一个专属系统了,是的,这就是要封装。 很多人都认为制作封装系统是一件很复杂、很高深的事情。事实上,真正做过1次封装系统以后,就会发觉做封装系统并不困难。只要具有一定电脑基础(会装操作系统、安装软件,能够比较熟练地使用常用的应用软件),再加上一点点细心和耐心,制作一个属于自己的封装系统是一件轻而易举的事情。下面,我们一起来制作属于自己的Windows7封装系统吧。 一、封装前准备 1、Windows7官方发布的安装光盘(镜像)。 2、需要预装的各种应用软件,如Office/WPS、Photoshop、Win7优化大师等等。 3、UltraISO和Windows7 AIK。Windows7 AIK简体中文版的下载地址为: https://www.wendangku.net/doc/c812661738.html,/download/6/3/1/631A7F90-E5CE-43AA-AB05-EA82AEAA402A /KB3AIK_CN.iso 4、WindowsPE光盘(最好是Windows7PE光盘)。Windows7PE光盘可以使用Windows7AIK 制作,也可以在以下地址下载: https://www.wendangku.net/doc/c812661738.html,/zh-cn/files/709d244c-2e5a-11de-a413-0019d11a795f/ 二、安装操作系统和应用程序 1、安装Windows7操作系统。 安装操作系统有4个环节要注意: ①操作系统最好安装在C盘,安装期间(包括后面安装应用程序和进行封装)最好不要连接到网络。 ②如果在安装操作系统过程中输入序列号,进行封装以后再重新安装操作系统不会再提示输入序列号。除非要制作成OEM版的封装系统,否则在安装过程中提示输入序列号时,不要输入序列号,直接点―下一步‖继续系统的安装。 ③为保持封装系统纯净,安装好Windows7操作系统后最好不要安装硬件的驱动。当然,安装驱动程序也不会影响系统的封装。 ④为避免调整优化系统、安装应用软件过程中出现不必要的错误和产生错误报告文件,第一次进入系统后应当禁用UAC和关闭错误报告。禁用UAC和关闭错误报告的方法如下:——打开―控制面板‖,点击―系统和安全‖,选择―操作中心‖,点击―安全‖,在展开的详细设置内容中找到并点击―用户帐户控制‖下方的―选择您UAC级别‖,然后在弹出的对话框中将左边的滑杆调整为―从不通知‖,再点击―确定‖就可以禁用UAC了(需要重新启动系统才能生效)。

WIN7系统下硬盘分区图文教程

WIN7系统下硬盘分区步骤(图解) 很多原装机、笔记本,出厂状态下,只有一个可使用的分区,而且预装WIN7系统,由于自带硬盘还原系统,不能用PM、PQ之类的分区工具进行分区,当然,也可以用另一些比较低级的分区软件进行分区,但这样一来,原来的硬盘还原系统和原装正版的WIN7就也一起删掉了,这是很多客户不能接受的。这里以联想ThinkPad SL410为例说说这种情况下的分区方法。 注意:进行分区前,先别往C盘安装软件或者拷贝文件,否则C盘会被撑大,导致C 盘不能压缩成比较小的分区(这我是有教训的,大家不用试了);如果有光驱,最好将光驱改为其他盘符,可以免去分区完毕后再调整盘符的麻烦。 1、依次打开“控制面板-系统管理-磁盘管理“,然后右键单击“Windows7_OS”,再选择“压缩卷”。(原来“压缩卷”就是调整分区的大小!可能很多人都会以为“压缩卷”是类似RAR的东西,看来中国微软的汉化人员真是不可全信。)

然后系统检查可调整的分区大小,一两分即可完成。

2、之后系统显示C盘的大小信息,单位是M,这时就可以调整C盘大小了,红色框起来的就是现在C硬的大小,如果你想将C盘调整到40G就用,那么226773-40*1024=185813,在“输入压缩空间量”输入185813,可以看到注意到“压缩后总计大小”为40960(又是压缩,昏!),就是40G大小。点压缩按钮,调整完成! 然后磁盘就多可出了一个未分配的分区,新分区就是在这未分配的区域中进行。接下来就分扩展分区了,右键单击未分配的分区,选择新建简单卷,进入“新建简单卷”向导。如下图:

新建简单卷就是创建新的分区!(又是容易使人误解的翻译,看来微软喜欢将我们的区叫成卷)。单击下一步。 出现“指定卷大小”对话框,在“简单卷大小”里输入要创建的分区大小。

WIN7旗舰版64位系统CAD安装方法

WIN7旗舰版64位系统CAD2012安装方法 安装说明: 1.以管理员的的身份启动安装Autodesk AutoCAD 2012 2.输入安装序列号:666-69696969, 667-98989898, 400-45454545 3.输入密匙:001D1 4.完成安装,重启CAD。 5.点击激活按钮之前 你有2个选择: a)禁用您的网络或拔掉网线;b)点击激活后它会告诉您,您的序列号是错误的,这时点击上一步等一会再点击激活即可。 选择了a或b后看下一步。 6.在激活界面中选择我拥有一个Autodesk激活码 7.一旦到了激活屏幕:启动注册机如果你是32位的请启用32位的注册机如果是64位的请启动64位的注册机。 8.先粘贴激活界面的申请号至注册机中的Request中, 9.点击Generate算出激活码,在注册机里点Mem Patch键否则无法激活提示注册码不正确。 10.最后复制Activation中的激活码至“输入激活码”栏中,并点击下一步。 你有一个完全注册autodesk产品 AutoCAD2012注册机绿色版(支持32位和64位简体中文) 2012-03-14 15:55 AutoCAD是由美国Autodesk欧特克官方于二十世纪八十年代初为微机上应用CAD技术(Computer Aided Design,计算机辅助设计)而开发的绘图程序软件。(第一次安装的时候记得完全安装,不要自定义安装。) AutoCAD经过不断的完善,现已经成为国际上广为流行的绘图工具。AutoCAD 2010具有良好的用户界面,通过交互菜单或命令行方式便可以进行各种操作。它的多文档设计环境,让非计算机专业人员也能很快地学会使用。在不断实践的过程中更好地掌握它的各种应用和开发技巧,从而不断提高工作效率。 CAD2010具有广泛的适应性,它可以在各种操作系统支持的微型计算机和工作站上运行,并支持分辨率由320×200到2048×1024的各种图形显示设备40多种,以及数字仪和鼠标器30多种,绘图仪和打印机数十种,这就为CAD2010的普及创造了条件。 本款CAD2010简体中文软件具有如下特点: (1)具有完善的图形绘制功能。 (2)有强大的图形编辑功能。 (3)可以采用多种方式进行二次开发或用户定制。 (4)可以进行多种图形格式的转换,具有较强的数据交换能力。 (5)支持多种硬件设备。 (6)支持多种操作平台 (7)具有通用性、易用性,适用于各类用户此外,从AutoCAD2000开始,该系统又增添了许多强大的功能,如AutoCAD设计中心(ADC)、多文档设计环境(MDE)、

win7系统安装详细图文教程

系统安装方式目前有三种,分别是硬盘装系统、U盘装系统、光盘装系统。它们各有优 缺点小编就不在此累述。小编编写此篇教程的目的是为了教大家在系统没崩溃的情况下,通过硬盘安装GHOST系统的方式,实现快速装机目的。具体步骤如下: 硬盘装系统 一、系统下载完成之后,右键单击ISO镜像,弹出菜单选择解压文件; 二、解压完成,文件夹内容如下,双击打开autorun.exe文件:

三、弹出的“AUTORUN.EXE”运行界面中选择“安装GHOST系统到C盘”; 四、进入系统安装界面,如下图点选相应选项,点确认即可

五、点击取消“支持赞助商999.coom”全面复选框的勾选,然后选“是”即可; 选择“是“之后,再点选自动重启,装机过程的时间约持续5~10分钟; 选择完成,点击确定,然后选择自动重启,即可进入自动装机状态,时间约持续5~10分钟; 注意事项:1、如果自动装机完成后没有直接进入桌面,而出现了黑屏状态,毋须担心,不是系统问题,直接手动重启电脑,重启完成即可正常使用。 2、解压时请直接进行解压,不可系统存放路径不可存有中文字符,否则将无法正常安装。 3、请将解压出的gho文件放到除C盘外的分区,否则将无法正常安装;点击确定后会重新启动自动化安装,一般安装时间在5-10分钟! U盘装系统

U盘装系统是目前最常用的系统安装方式。特别适合于未安装光驱的台式机或超薄笔记本电脑上。小编为了给这类无光驱用户提供最大的便利,将在本文中为大家讲解最详细的U盘装系统教程。 您需要准备一个空的U盘(注意U盘中的重要数据请及时的备份出来,最好提前格式化U盘,U盘容量不小于4G) 第一步:1、下载大白菜U盘制作软件到你的电脑中; 2、下载GHOST系统到你的电脑中; 第二步:首先插入U盘,右键点击U盘,弹出菜单选择快速格式化(切记U盘中重要文件事先要备份出来,以防丢失),然后启动大白菜软件,界面如下图,点击界面最下方的“一键制作U盘启动”按钮,进入自动制作U盘启动盘;

快速U盘重装系统教程 win7 超详细 [图解]

快速U盘重装系统win7 [图解] 只需一个U盘,半小时搞定重装系统,台机、笔记本,32位、64位通吃,再也不用求人!!! ?硬件: U盘(移动硬盘、大容量内存卡也可以) ?软件: 1. 大白菜超级U盘启动盘制作工具V4.2 2. win7PE文件 3. win7操作系统ghost文件(分32位和64位两种) 准备: 1. 1 分别下载所需软件: 1. 大白菜超级U盘启动盘制作工具V4.2 2. WIN7PE.iso 3. WIN7SP1_X86_V2013.08.GHO (这个是32位的) 4. WIN7SP1_X64_V2013.09.GHO (这个是64位的) (注意:上面两个系统(第3与第4)只需用其中之一,主要看你的内存条的大小,小于3GB的用32位系统,大于3GB的就用64位的。) 第一步:制作启动盘 1. 1 1. 下载好大白菜超级U盘启动盘制作工具,并把它安装在电脑上,启动大白菜软件并 插入U盘(注意:在制作启动盘时需要格式化U盘,U盘有重要资料,需拷贝到别的地方)。 如下图所示:

2.点击“U盘启动项设置”按钮,会弹出如下窗口,在“菜单名称”里面输入“Win7 PE”,在 “ISO文件路径”点击输入框后面的“..”按钮,找到刚才所下载的“WIN7PE.ISO”位置。然后点击“>>添加”按钮,会在“启动项菜单”显示你刚才添加的信息。最后点“确定”按钮即可。

3.以上工作做完就可以开始制作我们的启动盘啦,点击主界面下面的“一键制作USB启 动盘”按钮,就可以制作啦,制作成功后软件会提示你制作成功 4. 为了确保启动盘制作成功,我们应该模拟启动一下,点击主界面下面的“模拟启动”按 钮,如果成功的话会弹出一个窗口,并且在菜单最下方有我们制作的新的启动项“Win7 PE”,这样我们的启动盘就完全制作成功了!

相关文档
相关文档 最新文档