文档库 最新最全的文档下载
当前位置:文档库 › 数字电路EDA设计题库(2011)TX3091

数字电路EDA设计题库(2011)TX3091

数字电路EDA设计题库(2011)TX3091
数字电路EDA设计题库(2011)TX3091

1.EDA设计流程一般包括设计输入、设计实现、设计验证和器件下载4个步骤;

2.EDA的设计输入法中常用的有硬件描述语言输入、原理图输入和波形输入3种;

3.功能仿真是在设计输入完成后,选择具体器件进行编译之前进行的逻辑功能验证,因此又称为前仿真;

4.当前最流行的并成为IEEE标准的硬件描述语言包括V erilog-HDL 和VHDL ;

5.硬件描述语言HDL给PLD和教学系统的设计带来了更新的设计方法和理念,产生了目前最常用的并称之为自顶向下设计的方法;

6.将硬件描述语言转化为硬件电路的重要工具软件称为HDL综合器;

7.用MAX+PLUSⅡ的输入法设计的文件不能直接保存在根目录下,因此设计者在进入设计之前,应当在计算机中建立保存设计文件的文件夹;

8.图形文件设计结束后一定要通过编译,检查设计文件是否正确;

9.指定设计电路的输入/输出端口与目标芯片引脚的锁定后,再次对设计电路的仿真称为后仿真;10.以EDA方式实现的电路设计文件,最终可以编程下载到CPLD 或FPGA 芯片中,完成硬件设

计和验证;

11.一般将一个完整的VHDL程序称为独立的实体;

12.用VHDL设计的电路,既可以被高层次的系统调用,成为系统的一部分,也可以作为一个电路的功能块独立存在和独立运行;

13.VHDL设计实体的基本结构由实体、结构体、库、程序包和配置部分组成;

14.实体和结构体是设计实体的基本组成部分,它们可以构成最基本的VHDL程序;15.IEEE于1987年公布了VHDL的87 标准;

16.IEEE于1993年公布了VHDL的93 语法标准;

17.在VHDL中最常用的库是LIBRARY IEEE 标准库;

18.VHDL的实体是由声明部分和结构部分组成;

19.VHDL的实体声明部分指定了设计单元的输入输出端口或引脚,它是设计实体对外的一个通信

界面,是外界可以看到的部分;

20.VHDL的结构体用来描述设计实体的逻辑功能和逻辑结构,它由VHDL语句构成是外界看不到部分;

21.在VHDL的数据端口声明语句中,端口方向包括IN(输入)、OUT(输出(结构体内不可再使用)) 、INOUT(双向)和BUFFER(输出(结构体内可再使用));22.VHDL的数据对象包括信号、变量和常数,它们是用来存放各种

类型数据的

容器。

23.VHDL的变量(V ARIABLE)是一个局部量,只能在进程、函数和过程中声明和使用;24.VHDL的信号(SIGNAL)是一种数值容器,不仅可以容纳当前值,也可以保持历史值;

25.VHDL的数据类型包括标量、存取、文件和符号;26.在VHDL中,标准逻辑位数据有9 种逻辑值;

27.VHDL的操作符包括逻辑运算、关系运算、算术运算和并置运算4类;

28.VHDL的基本描述语句包括顺序语句和并行语句;

29.VHDL的顺序语句只能出现在进程、函数和过程中,是按程序书写的顺序

上而下,一条一条执行;

30.VHDL的并行语句在结构体中的执行是并行的,其执行方式与语句书写顺序无关;31.VHDL的PROCESS语句是由并行组成的,但其本身却是顺序;

33.VHDL的并行信号赋值语句的赋值目标必须都是信号赋值;

34.元件例化是将预先设计好的设计实体作为一个模块,连接到当前设计实体中一个指定的端口。

1.将设计的系统或电路按照EDA开发软件要求的某种形式表示出来,并送入计算机的过程称为( A );

A 设计输入

B 设计输出

C 仿真

D 综合

2.VHDL属于( B )描述语言;

A 普通硬件

B 行为

C 高级

D 低级

3.包括设计编译和检查、逻辑优化和综合、适配和分割、布局和布线、生成编程数据文件等操作的过程称为(B );

A 设计输入

B 设计处理

C 功能仿真

D 时序仿真

4.VHDL是在(B )年正式推出的;

A 1983

B 1985

C 1987

D 1989

5.在C语言的基础上演化而来的硬件描述语言是( A );

A VHDL

B VerilogHDL

C AH

D D CUPL

6.基于硬件描述语言HDL的数字系统设计目前最常用的设计方法称为(B )设计法;

A 自底向上

B 自顶向下

C 积木式

D 顶层

7.在EDA工具中,能将硬件描述语言转化为硬件电路的重要工具软件称为(B );

A 仿真器

B 综合器

C 适配器

D 下载器

8.在EDA工具中,能完成在目标系统器件上布局布线软件称为(C );

A 仿真器

B 综合器

C 适配器

D 下载器

9.MAX+PLUSⅡ是(C );

A 高级语言

B 硬件描述语言

C EDA工具软件

D 综合软件

10.使用MAX+PLUSⅡ的图形编辑方式输入的电路原理图文件必须通过(B )才能进行仿真验证;

A 编辑

B 编译

C 综合

D 编程

11.MAX+PLUSⅡ的设计文件不能直接保存在(B );

A 硬盘

B 根目录

C 文件夹

D 工程目录

12.使用MAX+PLUSⅡ工具软件建立仿真文件,应采用( D )方式;

A 图形编辑

B 文本编辑

C 符号编辑

D 波形编辑

13.在MAX+PLUSⅡ工具软件中,完成编译网表提取、数据库建立、逻辑综合、逻辑分割、适配、延时网表提取和编程文件汇编等操作,并检查设计文件是否正确的过程称为(B);

A 编辑

B 编译

C 综合

D 编程

14.在MAX+PLUSⅡ集成环境下为图形文件产生一个元件符号的主要用途是(D );

A 仿真

B 编译

C 综合

D 被高层次电路设计调用15.执行MAX+PLUSⅡ的(D )命令,可以精确分析设计电路输入与输出波形间的延时量;

A Create Default Symbol

B Simulator

C Compiler

D Timing Analyzer

16.执行MAX+PLUSⅡ的(B )命令,可以对设计电路进行功能仿真或时序仿真;

A Create Default Symbol

B Simulator

C Compiler

D Timing Analyzer

17.执行MAX+PLUSⅡ的(A )命令,可以为设计电路建立一个元件符号;

A Create Default Symbol

B Simulator

C Compiler

D Timing Analyzer

18.执行MAX+PLUSⅡ的( C )命令,可以检查设计电路错误;

A Create Default Symbol

B Simulator

C Compiler

D Timing Analyzer

19.MAX+PLUSⅡ的波形文件类型是(A );

A ..scf

B .gdf

C .vhd

D .v

20.MAX+PLUSⅡ的图形设计文件类型是(B );

A ..scf

B .gdf

C .vhd

D .v

21.VHDL的设计实体可以被高层次的系统(D ),成为系统的一部分;

A 输入

B 输出

C 仿真

D 调用

22.VHDL常用的库是( A )标准库;

A IEEE

B STD

C WORK

D PACKAGE

23.VHDL的实体声明部分用来指定设计单元的(D );

A 输入端口

B 输出端口

C 引脚

D 以上均可

24.一个实体可以拥有一个或多个(B );

A 设计实体

B 结构体

C 输入

D 输出

25.在VHDL中,32_123_456属于(A )文字;

A 整数

B 以数制基数表示的

C 实数

D 物理量

26.在下列标识符中,( A )是VHDL错误的标识符号;

A 4h_adde

B h_adde4

C h_adde_4

D h_adde

27.在VHDL中,(C )不能将信息带出对它定义的当前设计单元;

A 信号

B 常量

C 数据

D 变量

28.在VHDL中,为目标变量的赋值符号的是(C );

A = :

B =

C :=

D <=

29.在VHDL中,为定义信号名时,可以用(D )符号为信号赋初值;

A = :

B =

C :=

D <=

30.在VHDL的IEEE标准库中,预定义的标准逻辑位数据STD_LOGIC有( D )种逻辑值;

A 2

B 3

C 8

D 9

31.在VHDL的IEEE标准库中,预定义的位数据类型BIT有( A )种逻辑值;

A 2

B 3

C 8

D 9

32.在VHDL中,用语句(B)表示检测clock的上升沿;

A clock’EVENT

B clock’EVENT AND clock=’1’

C clock=’0’

D clock’EVENT AND clock=’0’

33.在VHDL中,含W AIT语句的进程PROCESS的括弧中后(B )再加敏感信号,否则是非法的;

A 可以

B 不能

C 任意

D 只能

34.在VHDL中,PROCESS结构是由(A )语句组成的;

A 顺序

B 顺序和并行

C 并行

D 任何

35.在VHDL的进程语句格式中,敏感信号表列出的是设计电路的(A )信号;

A 输入

B 输入和输出

C 输出

D 时钟

数字电子钟课程设计实验报告

中北大学 信息与通信工程学院 通信工程专业 《电子线路及系统》课程设计任务书2016/2017 学年第一学期 学生姓名:张涛学号: 李子鹏学号: 课程设计题目:数字电子钟的设计 起迄日期:2017年1月4日~2017年7月10日 课程设计地点:科学楼 指导教师:姚爱琴 2017年月日 课程设计任务书

中北大学 信息与通信工程学院 通信工程专业 《电子线路及系统》课程设计开题报告2016/2017 学年第一学期 题目:数字电子钟的设计 学生姓名:张涛学号: 李子鹏学号:

指导教师:姚爱琴 2017 年 1 月 6 日 中北大学 信息与通信工程学院 通信工程专业 《电子线路及系统》课程设计说明书2016/2017 学年第二学期 题目:数字电子钟的设计 学生姓名:张涛学号: 李子鹏学号: 指导教师:姚爱琴 2017 年月日

目录 1 引言 (6) 2 数字电子钟设计方案 (6) 2.1 数字计时器的设计思想 (6) 2.2数字电路设计及元器件参数选择 (6) 2.2.2 时、分、秒计数器 (7) 2.2.3 计数显示电路 (8) 2.2.5 整点报时电路 (10) 2.2.6 总体电路 (10) 2.3 安装与调试 (11) 2.3.1 数字电子钟PCB图 (11) 3 设计单元原理说明 (11) 3.1 555定时器原理 (12) 3.2 计数器原理 (12) 3.3 译码和数码显示电路原理 (12) 3.4 校时电路原理 (12) 4 心得与体会 (12) 1 引言 数字钟是一种用数字电子技术实现时,分,秒计时的装置,具有较高的准确性和直观性等各方面的优势,而得到广泛的应用。此次设计数字电子钟是为了了解数字钟的原理,在设计数字电子钟的过程中,用数字电子技术的理论和制作实践相结合,进一步加深数字电子技术课程知识的理解和应用,同时学会使用Multisim电子设计软件。 2数字电子钟设计方案 2.1 数字计时器的设计思想 要想构成数字钟,首先应选择一个脉冲源——能自动地产生稳定的标准时间脉冲信号。而脉冲源产生的脉冲信号地频率较高,因此,需要进行分频,使得高频脉冲信号变成适合于计时的低频脉冲信号,即“秒脉冲信号”(频率为1Hz)。经过分频器输出的秒脉冲信号到计数器中进行计数。由于计时的规律是:60秒=1分,60分=1小时,24小时=1天,就需要分别设计60进制,24进制计数器,并发出驱动信号。各计数器输出信号经译码器、驱动器到数字显示器,是“时”、“分”、“秒”得以数字显示出来。 值得注意的是:任何记时装置都有误差,因此应考虑校准时间电路。校时电路一般

数字电路经典笔试题目汇总

数字电路笔试汇总 2、什么是同步逻辑和异步逻辑?(汉王笔试) 同步逻辑是时钟之间有固定的因果关系。异步逻辑是各时钟之间没有固定的因果关系。 電路設計可分類為同步電路和非同步電路設計。同步電路利用時鐘脈衝使其子系統同步運作,而非同 步電路不使用時鐘脈衝做同步,其子系統是使用特殊的“開始”和“完成”信號使之同步。由於非同步電 路具有下列優點--無時鐘歪斜問題、低電源消耗、平均效能而非最差效能、模組性、可組合和可複用性-- 因此近年來對非同步電路研究增加快速,論文發表數以倍增,而Intel Pentium 4處理器設計,也開始採用 非同步電路設計。 异步电路主要是组合逻辑电路,用于产生地址译码器、FIFO或RAM的读写控制信号脉冲,其逻 辑输出与任何时钟信号都没有关系,译码输出产生的毛刺通常是可以监控的。同步电路是由时序电路(寄存 器和各种触发器)和组合逻辑电路构成的电路,其所有操作都是在严格的时钟控制下完成的。这些时序电路 共享同一个时钟CLK,而所有的状态变化都是在时钟的上升沿(或下降沿)完成的。 3、什么是"线与"逻辑,要实现它,在硬件特性上有什么具体要求?(汉王笔试) 线与逻辑是两个输出信号相连可以实现与的功能。在硬件上,要用oc门来实现(漏极或者集电极开路),由于不用oc门可能使灌电流过大,而烧坏逻辑门,同时在输出端口应加一个上拉电阻。(线或则是下拉电阻) 4、什么是Setup 和Holdup时间?(汉王笔试) 解释setup和hold time violation,画图说明,并说明解决办法。(威盛VIA 2003.11.06 上海笔试试题) Setup/hold time 是测试芯片对输入信号和时钟信号之间的时间要求。建立时间是指触发器的时钟信 号上升沿到来以前,数据稳定不变的时间。输入信号应提前时钟上升沿(如上升沿有效)T时间到达芯片,这个T就是建立时间-Setup time.如不满足setup time,这个数据就不能被这一时钟打入触发器,只有在下 一个时钟上升沿,数据才能被打入触发器。保持时间是指触发器的时钟信号上升沿到来以后,数据稳定不 变的时间。如果hold time不够,数据同样不能被打入触发器。 建立时间(Setup Time)和保持时间(Hold time)。建立时间是指在时钟边沿前,数据信号需要保持不 变的时间。保持时间是指时钟跳变边沿后数据信号需要保持不变的时间。如果不满足建立和保持时间的话,那么DFF将不能正确地采样到数据,将会出现

建筑施工技术A考试试题及答案

建筑施工技术A一 一、单项选择题(只有一个选项正确,共10道小题) 1. 柱类构件纵向钢筋采用绑扎连接时,同一连接区段内的搭接接头面积百分比不宜大于50% 2. 现浇结构柱内的纵向钢筋可采用以下哪种方法焊接电渣压力焊 3. 某现浇悬臂板,悬臂长度1m,底模拆除时混凝土强度应达到设计强度的100% 4. 混凝土的设计立方体抗压强度标准值为20N/mm2,标准差为1.82N/mm2,, 则其试配强度计算值为24.1 N/mm2 5. 混凝土每组3个试件,其试验结果为20、25、27(单位N/mm2),该组的代表值为25 6. 搅拌后达到终凝状态的混凝土,正确的处置方法是不得再继续使用 7.后张法施工,混凝土强度要求达到何值时,才能张拉预应力钢筋?强度标准值的75% 8. 常温下砌砖时,普通粘土砖应提前1天浇水润湿 9. 采用旋转法吊装厂房柱子时,平面布置应满足柱脚中心、绑扎点和基础杯口中心在起重机工作半径的圆弧上 10.防水混凝土的自然养护时间不得少于14天 二、不定项选择题(有不定个选项正确,共5道小题) 11. 对摩擦桩打桩质量控制要求是() (A) 以桩尖设计标高为主 (D) 以最后贯入度作为参考 12. 对砖砌体砌筑要求正确的是() (A) 水平灰缝饱满度不小于80% (C) 水平灰缝厚度应在8-12mm内 13. 当验算混凝土楼板模板的刚度时,应考虑的施工荷载有( ) (A) 混凝土重量 (D) 钢筋重量 (E) 模板自重 14. 与后张法比较,先张法的特点是() (B) 工艺简单 (D) 锚具可重复使用件 15. 单层厂房结构的综合吊装法特点是() (A) 效率低,施工速度慢 (D) 适合采用桅杆吊 (E) 可以为后续工作提前提供工作面

EDA设计II实验报告——多功能数字钟

『EDA设计II』 课程实验报告 姓名 学号 学院 指导教师 时间 2011年 05月

多功能数字钟 摘要:本实验利用Quartus II软件设计多功能数字钟并下载到Smart SOPC实验系统,实现校分、校时、清零、保持和整点报时等多种基本功能,以及闹钟等附加功能。本实验首先通过Quartus II 软件对各模块进行原理图设计,并进行仿真调试,最后下载至实验平台验证其功能。 关键词:多功能数字钟Quartus II软件仿真封装校分校时清零保持整点报时闹钟 Abstract:The experiment is to design a multi-purpose digital clock by Quartus II and then download to the test system of Smart SOPC. It can realize many functions such as minute adjusting, hour adjusting, resetting, keeping and reporting time on integral hour. Apart from this, it can also be used as a alarm clock. First of all, we design the schematic diagram of every part. In addition, we simulate through Quartus II. At last, we download it to the tests platform and test the function. Key words:multi-purpose digital clock Quartus II simulate seal minute- adjusting hour adjusting resetting keeping reporting time on integral hour alarm clock

建筑施工技术试题及答案

最新建筑施工技术试题及答案 一、选择 1、从建筑施工得角度,根据土得( ),可将土分为八类。 A、颗粒级配 B、沉积年代 C、坚硬程度 D、承载能力 2、土得类别越大,越难开挖,其可松性( ) A、越小 B、无变化 C、变大 D、趋于零 3、某沟槽得宽度为 4、0m,轻型井点得平面布置宜采用( ) 布置形式。 A、单排井点 B、U型井点 C、双排井点 D、环形井点 4、土方边坡得边坡系数就是以( )之比表示。 A、土方开挖深度与底宽 B、土方每层开挖深度与底宽 C、底宽与土方每层开挖深度 D、土方每层开挖深度与土方总开挖深度 5、反铲挖土机得工作特点就是( ) A、后退向下,自重切土 B、前进向上,强制切土 C、后退向下,强制切土 D、直上直下,自重切土 6、影响填土压实得主要因素之一就是( ) A、土得种类 B、土得含水量 C、可松性大小 D、土得渗透系数 7、土方得开挖顺序,方法必须与设计情况相一致,并遵循开槽支撑、( )严禁超挖得原则。 A、先撑后挖,分层开挖 B、先挖后撑,分层开挖 C、先撑后挖,分段开挖 D、先挖后撑,分段开挖 8、在河岸淤泥质土层中做直径为600mm得灌注桩时, 应采用( )成孔方法。 A、螺旋钻钻孔法 B、套管成孔法

C、爆扩法 D、人工挖孔法 9、锤击打桩法进行打桩时,宜采用( )得方式, 可取得良好得效果。 A、重锤低击,低提重打 B、重锤高击,低提重打 C、轻锤低击,高提重打 D、轻锤高击,高提重打、 10、需要分段开挖及浇筑砼护壁(0、5~1、0m为一段),且施工设备简单, 对现场周围原有建筑得影响小,施工质量可靠得灌注桩指得就是( ) A、钻孔灌注桩 B、沉管灌注桩 C、人工挖孔灌注桩 D、爆扩灌注桩 11、浇注水下混凝土时,导管应始终埋入混凝土中不小于 ( )。 A、3~4m B、 0、3~0、5m C、0、8m D、2m 12、某混凝土梁得跨度为9、0m,采用木模板、钢支柱支模时, 如无设计要求,则该混凝土梁跨中得起拱高度为(9-27mm) A、6mm B、8mm C、18mm D、28mm 13、钢筋进行冷拉加工时,常采用控制钢筋得冷拉率与( )两种 方法。 A、强度 B、冷弯性能 C、应力 D、变形 14、对厚度大而面积较小得大体积设备基础,浇筑混凝土时应采取( )方案。 A、全面分层 B、斜面分层 C、分段分层 D、分期浇筑 15、首次使用得混凝土配合比应进行开盘鉴定,其工作性应满足设计配合比得要求。开始生产时,应至少留置( )组标准养护试件,作为验证配合比得依据。 A、一 B、二 C、三 D、六 16、混凝土得自由倾落高度不应超过( )m,否则应采用串筒或溜槽下料。 A、1 B、2 C、3 D、4 17、对于泵送得混凝土,优先选用得粗骨料为( )。 A、碎石 B、卵碎石

《科学与技术》期末考试试题与答案版

科学与技术复习试题 一、选择题(每题2分,共10分) 1.自然界中一切物体的相互作用,都可能归结为四种基本的相 互作用,即引力、弹力、电磁力和(C)相互作用。A.地磁力B.分子力C强力D.结合力 2.基因是含特定遗传信息的核苷酸序列,是(D)的最小功能单位。 A .细胞 B .蛋白质 C .氨基酸 D .遗传物质 3.1996年,世界上第一只克隆羊——多利面世,这 是世界上首次利用(A)技术而培养出的克隆动物。 A. 细胞核移植 B .细胞融合C.细胞培养 D .细胞膜嫁 接 4.由无数恒星和星际物质构成的巨大集合体称为(A) 。 A.星系 B .星空 C .星云 D .星际 5.光纤通信利用光纤来传送(C),它是20世纪70年代发展起来的一种新的通信方式。 A.电 B .声 C .光 D .机械 二、填空题(每空2分,共10分) 6.科学是技术发展的__理论__基础,技术是科学发展的手段, 他们相互依存、相互渗透、相互转化。 7 .我国863计划中,被评选列入该纲要的8个技术群是生物技 术、航天技术、信息技术、激光技术、自动化技术、能 源技术、新材料技术和海洋技术。 8 .新技术革命的兴起是以__信息技术为先导的。 9.板块构造说的理论是在__大陆漂移学说、海底扩张 学说的基础上发展起的。 10.1987年,世界环境与发展委员会发布了一份 题为《我们共同的未来》的报告,首次提出了“可持续 发展”的概念。 三、名词解释(每题5分,共20分) 11.核能是在原子核变化过程中,从变化前后原子核质量亏损的质量 差转化来的能量。 12. 纳米材料就是用特殊的方法将材料颗粒加工到纳米级(lo-g 米),再用这种超细微粒子制造的材料。 13. 地球外部圈层结构指地球外部离地表平均800千米以内的圈 层,包括大气圈、水圈和生物圈。 14 .物质生产力一(劳动者十劳动资料十劳动对象十管理 +??) 高科技。四、简答题(每题15分.共30分) 15.简述科学认识发展的动因。 (1)科学认识发展的外部动因(8分) 恩格斯曾经指出:“经济上的需要曾经是,而且越来 越是对自然界的认识进展的主要动力”。 一般地说,在19世纪中叶以前,科学是落后于生产和技术的, 它的发展是在生产需要的推动下进行的。而从19世纪下半叶以后,科学理论研究不仅走在技术和生产的前面,还为技术和生产的发展开辟了各种可能的途径。进入二十世纪以后,现代科学产生了空前的先行作用,科学变成了超越一般技术进步的因素。 (2)科学认识发展的内部动因(7分) 科学作为系统化的理论知识体系,有其自身的矛盾运 动和继承积累关系。科学发展的内部矛盾运动是它的内部动力。它表现为:1)新事实和1日理论的矛盾。2)各种不同观点、假说和理论的矛盾。 16.简述新材料发展的方向。 随着社会的进步,人类总是不断地对材料提出新的要求。当今新材料的发展有以下几点: (1)结构与功能相结合。即新材料应是结构和功能 上较为完美的结合。(3分) (2)智能型材料的开发。所谓智能型是要求材料本身具 有一定的 模仿生命体系的作用,既具有敏感又有驱动的双重的功能。(3 分) (3)少污染或不污染环境。新材料在开发和使用过 程中,甚至废弃后,应尽可能少地对环境产生污染。 (3分) 18世纪中叶产 生

eda数字时钟实验报告

EDA数字时钟电工电子实习 实验报告 姓名 班级 学号20

一、实验目的: 1、掌握多位计数器相连的设计方法。 2、掌握十进制、六十进制和二十四进制计数器的设计方法。 3、巩固数码管的驱动原理及编程方法。 4、掌握CPLD技术的层次化设计方法。 二、实验要求: 基本要求:具有时、分、秒计数显示功能,以二十四小时循环计时。 扩展要求:具有整点报时功能。 三、实验原理: 计数时钟由模60秒计数器、模60分计数器、模24小时计数器、蜂鸣器(用于整点报时)、分/时设定模块、输出显示模块构成,秒计数模块的进位输出为分钟计数模块的进位输入,分钟计数模块的进位输出为小时计数模块的进位输入。 74163功能简介:

图1 图2 由图1可知,74163的脉冲上升沿的时候工作。 四、实验过程

1.模60计数器(如图3) 图3 由74163实现计数功能,第一片74163实现10进制,即做0-9的循环,9即二进制的1001,化简可得当q[0]与q[3]同时为1的时候进行清零。第二片74163实现6进制,即做0-5的循环,5即二进制的111,化简可得当q[4]与q[6]同时为1的时候进行清零,同时第一片74163的进位端作为第二片的脉冲端。这样就可实现60进制。60进制计数器用于秒计数器和分计数器,秒个位的进位端作为秒十位的脉冲端秒十位的进位端作为分个位的脉冲端,分个位的进位端作为分十位的脉冲端。 2.模24计数器(如图4) 图4 分十位的进位端作为时个位的脉冲端,时个位的进位端作为时十位的脉冲端。因为24进制的特殊性,当十位是0和1的时候,个位做十进制循环,即0-9,9的二进制为1001;当十位是2的时候,个位做0-3的循环。而十位做0-2的循环。2的二进制为0010,3的二进制为0011。所以第一片74163不仅要在q[14]与q[17]同时为1的时候清零,还要在第二片74163的q[19]、第一片的q[14]、q[15]同时为1(即23时)做清零。第二片是3进制,在q[19]=1的时候进行清零。

数字电路试题及答案

数字电子技术基础试题(一) 一、填空题 : (每空1分,共10分) 1. (30.25) 10 = (11110.01 ) 2 = (1E.4 ) 16 。 2 . 逻辑函数L = + A+ B+ C +D = 1 。 3 . 三态门输出的三种状态分别为: 高电平 、 低电平 和 高阻态 。 4 . 主从型JK 触发器的特性方程 = 。 5 . 用4个触发器可以存储 4 位二进制数。 6 . 存储容量为4K×8位的RAM 存储器,其地址线为 条、数据线为 条。 二、选择题: (选择一个正确的答案填入括号内,每题3分,共30分 ) 1.设下图中所有触发器的初始状态皆为0,找出图中触发器在时钟信号作用下,输出电压波形恒为0的是:( C )图。 2.下列几种TTL 电路中,输出端可实现线与功能的电路是(B )。 A 、或非门 B 、与非门 C 、异或门 D 、OC 门 3.对CMOS 与非门电路,其多余输入端正确的处理方法是(D )。

A、通过大电阻接地(>1.5KΩ) B、悬空 C、通过小电阻接地(<1KΩ) B、 D、通过电阻接V CC 4.图2所示电路为由555定时器构成的(A )。 A、施密特触发器 B、多谐振荡器 C、单稳态触发器 D、T触发器 5.请判断以下哪个电路不是时序逻辑电路(C)。 A、计数器 B、寄存器 C、译码器 D、触发器 6.下列几种A/D转换器中,转换速度最快的是(A )。 A、并行A/D转换器 B、计数型A/D转换器 C、逐次渐进型A/D转换器 B、 D、双积分A/D转换器 7.某电路的输入波形 u I 和输出波形 u O 如下图所示,则该电路为(C)。 A、施密特触发器 B、反相器 C、单稳态触发器 D、JK触发器 8.要将方波脉冲的周期扩展10倍,可采用(C)。 A、10级施密特触发器 B、10位二进制计数器 C、十进制计数器 B、D、10位D/A转换器 9、已知逻辑函数与其相等的函数为(D )。 A、 B、 C、 D、 10、一个数据选择器的地址输入端有3个时,最多可以有(C )个数据信号输出。 A、4 B、6 C、8 D、16 三、逻辑函数化简(每题5分,共10分) 1、用代数法化简为最简与或式

专升本《建筑施工技术》考试试题及答案

专升本《建筑施工技术》考试试题及答案 第一章 土方工程 一、填空题 1.土方工程的施工往往具有??工程量大 、?劳动繁重??、 施工条件复杂?等特点。 2.土方施工中,按照?土的开挖难易程度?划分,土可分为松软土、普通土、坚土、砂砾 坚土、软石、次坚石、坚石、特坚石八类。 3.土方施工中要考虑土的可松性,是由于土方工程量是以?自然状态的体积?来计算的,土的可松性用?可松性系数??来表示。 4.场地平整用三角棱柱体确定初步设计标高的计算公式?? ()4321043241z z z z n z ∑+∑+∑+∑=?土方量计算中部分填挖方时的计算公式V 锥= ?? ))((63231332H H H H H a ++???;? V 楔=??[]1233231332))((6H H H H H H H H a ++-++?? 5.最佳设计平面既能使?土方工程量最小?又能保证?填方量相等?,一般可用?最小二乘法?方法求解。 6.影响土方边坡稳定的因素主要有?土质、开挖深度、施工工期、地下水水位、坡顶荷 载、气候条件因素?。 7.计算水井系统时,水井可分成??承压完整井、承压非完整井、无压完整井、无压非完 整井?。 8.一般轻型井点的管路系统包括??滤管、井点管、弯联管、总管?。 9.填土的压实方法有???碾压、夯实、振动压实??其中??夯实、振动压实??适用于非粘性土。 10.防治流砂的方法有??水下挖土法、人工降低地下水位法、枯水期施工、地下连续墙、抛大石块法、打钢板桩法?。 11.挖土机根据工作装置可分成??正铲、反铲、抓铲、拉铲?等类型。 二、是非题: 1、动水压力GD 的大小与水力坡度i 成正比,与地下水渗流路程L 成反比。(√) 2、当动水压力GD 大于土的浮重度γw'时,土粒便会产生流砂现象。(×) 3、井点平面环形布置运用于大面积基坑降水。如采用U 形布置,则井点不封闭的一段应设在基坑的任一短边位置。(×) 4、集水井的开挖随基坑逐层开挖,并保持低于挖土面1.0~2.0m.(×)0.7--1.0m 5、土的渗透系数小于0.1m/d 时,应选择喷射轻型井点降水。(×)电渗井点 6、计算环状井点管的埋深公式h ≥h1+△h+iL 中,L 为取短边总管长度的二分之一。(√) 7、群井系统涌水量计算公式中的x0为环状井点系统的假想半径,其适用条件为总管的长边与短边之比不大于3。(×) 8、井点打设并填砂后,须用粘土封口,其目的是防止井点系统漏气。(√) 9、井点管路系统是由滤管、井点管、弯联管、总管等组成。(√) 10、埋设井点的程序为:埋设井点管、排放总管、用弯联管接井点管与总管。(×) 三、单项选择题 1.基坑(槽)路堤的土方量可采用下列方法计算:( C ) A.三角棱柱体法 B.等截面计算法 C.拟柱体体积计算法 D.四方棱柱体法

现代生物技术试题

2011 现代生物技术期末试卷 一填空题 1 在基因工程实践中,常用的载体有(质粒),(噬菌体)和(腺病毒载体) 质粒是细菌染色体外能够自主复制的环形双链的DNA分子。质粒DNA不仅能在细菌中复制,并且在添加真核复制信号和启动子后,可以构建出能在原核和真核细胞中均可复制的穿梭质粒,并在真核细胞中表达, 载体的特点:1、至少有一个复制起点,因而至少可在一种生物体中自主复制。2、至少应有一个克隆位点,以供外源DNA插入。3、至少应有一个遗传标记基因,以指示载体或重组DNA分子是否进入宿主细胞。4、具有较小的分子量和较高的拷贝数。 2 (限制性内切酶)酶和(DNA连接酶)酶的发现和应用,才真正使DNA分子的体外切割与连接成为可能。 3 根据质粒复制控制类型,可将质粒分为(严紧型质粒)和(松弛型)质粒。 根据载体功能划分:1). 普通型载体,2)、表达型载体. 表达外源基因以产生大量外源基因产物用于构建cDNA 文库 4 1993年美国科学家(Kary Mullis )因发明PCR技术而获得诺贝尔奖。 5 一切生物生命活动的结构和功能单位是(细胞),其可分为()和()两大类 细胞分裂的方式:无丝:最简单的细胞分裂方式,只出现在低等生物或动植物的器官和组织内有丝:是细胞分裂的主要形式,其实质是染色体经过复制变成双份,再平均分配到两个子细胞中,从而保持遗传物质的稳定传递.有丝分裂过程包括间期,前期,中期,后期和末期. 细胞的分裂是通过细胞周期来实现的。S期,DNA合成的时期. 从S 期到有丝分裂期(M期)为G2期从M期结束到S期开始之前称为G1期. 6 人工种子由(种皮)、(胚乳)和(胚)三部分构成。 7动物细胞常用的培养方法有(贴壁培养)、(悬浮培养)和(固定化培养)三种。8酶的命名方法有(系统命名)和(习惯命名)两种。 酶是生物体活细胞产生的、具有催化反应功能的蛋白质生物体内的各种物质代谢、能量传递、信息转录、神经传导、免疫调节、细胞衰老及生长发育等等,都离不开酶的参与。 作用:1、执行某种具体的生理功能;2、担负保卫清除功能;3、协同激素起生物信号放大作用;4、催化和调控代谢反应 所有的酶都由生物体合成,几乎所有生物都能合成产生酶,酶在生物体内的合成总是受其相应合成调节机制控制,以保证机体最有效、经济地将体内合成原料与能量用于自身生命最需要的酶等物质 分布:它们或定位于某亚细胞结构上处于“溶解”状态;不同生物体细胞内酶的数量和种类不同;同一生物体内的不同部位或不同生长发育阶段的细胞内酶的数量、种类不同; 微生物酶来说,合成后分泌有两种情况:胞外酶(分泌型酶)是指可以穿过质膜的任何酶,大多数是水解酶,大多数工业用酶是胞外酶。胞内酶是指合成后仍然在细胞内发挥作用的酶。 酶系统分类命名的基础是酶的专一性:

EDA实验实验报告

数字eda实验实验报告 学院:计算机科学与工程学院专业:通信工程学 号: 0941903207 姓名:薛蕾指导老 师:钱强 实验一四选一数据选择器的设计 一、实验目的 1、熟悉quartus ii软件的使用。 2、了解数据选择器的工作原理。 3、熟悉eda开发 的基本流程。 二、实验原理及内容 实验原理 数据选择器在实际中得到了广泛的应用,尤其是在通信中为了利用多路信号中的一路, 可以采用数据选择器进行选择再对该路信号加以利用。从多路输入信号中选择其中一路进行 输出的电路称为数据选择器。或:在地址信号控制下,从多路输入信息中选择其中的某一路 信息作为输出的电路称为数据选择器。数据选择器又叫多路选择器,简称mux。 4选1数据 选择器: (1)原理框图:如右图。 d0 、d1、d2、d3 :输入数据 a1 、a0 :地址变量 由地址码决定从4路输入中选择哪1路输出。 (2)真值表如下图: (3)逻辑图 数据选择器的原理比较简单,首先必须设置一个选择标志信号,目的就是为了从多路信 号中选择所需要的一路信号,选择标志信号的一种状态对应着一路信号。在应用中,设置一 定的选择标志信号状态即可得到相应的某一路信号。这就是数据选择器的实现原理。 三.实验内容 1、分别采用原理图和vhdl语言的形式设计4选1数据选择器 2、对所涉及的电路进行 编译及正确的仿真。电路图: 四、实验程序 library ieee; use ieee.std_logic_1164.all; entity mux4 is port( a0, a1, a2, a3 :in std_logic; s :in std_logic_vector (1 downto 0); y :out std_logic ); end mux4; architecture archmux of mux4 is begin y <= a0 when s = 00 else --当s=00时,y=a0 a1 when s = 01 else --当s=01时,y=a1 a2 when s = 10 else --当s=10时,y=a2 a3; --当s取其它值时,y=a2 end archmux; 五、运行结果 六.实验总结 真值表分析: 当js=0时,a1,a0取00,01,10,11时,分别可取d0,d1,d2,d3. 篇二:eda实验报告模版 《eda技术》实验报告

数字电路试题及答案

数字电路试题 一、单项选择题 1、以下代码中为无权码的为 ( ) A . 8421BCD 码 B . 5421BCD 码 C . 余三码 D .2421BCD 码 2、图示逻辑电路的逻辑式为 ( ) A .F=C B A ++ B .F= C B A ++ C .F=C B A D .F=ABC 3、下列关于异或运算的式子中,不正确的是 ( ) A .0A A =⊕ B . 1A A =⊕ C .A 0A =⊕ D .A 1A =⊕ 4、一个n 变量的逻辑函数应该有 个最小项 ( ) A .n B .n 2 C .n 2 D .2 n 5、若编码器中有50个编码对象,则要求输出二进制代码位数为 位。 ( ) A.5 B.6 C.10 D.50 6、在下列逻辑电路中,不是组合逻辑电路的是 。 ( ) A.译码器 B.编码器 C.全加器 D.寄存器 7、欲使JK 触发器按01 =+n Q 工作,可使JK 触发器的输入端 。 ( ) A.1==K J B.Q J =,Q K = C.Q J =,Q K = D.0=J ,1=K 8、同步时序电路和异步时序电路比较,其差异在于两者 。 ( ) A.没有触发器 B.是否有统一的时钟脉冲控制 C.没有稳定状态 D.输出只与内部状态有关 9、8位移位寄存器,串行输入时经 个脉冲后,8位数码全部移入寄存器中。 ( ) A.1 B.2 C.4 D.8 10、555定时器D R 端不用时,应当 。 ( ) A.接高电平 B.接低电平 C.通过F μ01.0的电容接地 D.通过小于Ω500的电阻接地 二、填空题 1、当传送十进制数5时,在8421奇校验码的校验位上值应为 。 2、(35.625)10=( )2=( )8=( )16 3、用反演律求函数D A D C ABC F ++=的反函数(不用化简)=F 。 4、消除竟争冒险的方法有 、 、 等。 5、触发器有 个稳态,存储8位二进制信息要 个触发器。 1 & A B C F 11

建筑施工技术试题库及答案

一、名词解释 1.土的可松性:自然状态下的土,经开挖后,其体积因松散而增加,以后虽然经回填压实,仍不能恢复成原来的体积,这种性质,称为土的可松性。 2.土方边坡坡度: 土方边坡:土方边坡的坡度以其高度h与底宽b之比表示(图),即 式中:,称为坡度系数 3.土层锚杆:土层锚杆是埋入土层深处的受拉杆件,一端与工程构筑物相连,一端锚固在土层中,以承受由土压力、水压力作用产生的拉力,维护支护结构的稳定。 4.土钉支护土钉支护:基坑开挖的坡面上,采用机械钻孔,孔内放入钢筋并注浆,在坡面上安装钢筋网,喷射厚度为80~200mm的C20混凝土,使土体、钢筋与喷射混凝土面板结合为一体,强化土体的稳定性。这种深基坑的支护结构称为土钉支护。 5.动水压力:流动中的地下水对土颗粒产生的压力称为动水压力。 6.流沙现象:采用集水坑降水法开挖基坑,当基坑开挖到地下水位以下时,有时坑底土会形成流动状态,随地下水涌入基坑,这种现象称为流砂现象。 7.土的最优含水量:在压实机械和压实遍数相同的条件下,使填土压实获得最大密实度时的土的含水量,称为土的最优含水量。 8.压实系数:压实系数是土的施工控制干密度和土的最大干密度的比值。 9.摩擦桩与端承桩: 端承桩——是指穿过软弱土层并将建筑物的荷载通过桩传递到桩端坚硬土层或岩层上。桩侧较软弱土对桩身的摩擦作用很小,其摩擦力可忽略不计。 摩擦桩——是指沉入软弱土层一定深度通过桩侧土的摩擦作用,将上部荷载传递扩散于桩周围土中,桩端土也起一定的支承作用,桩尖支承的土不甚密实,桩相对于土有一定的相对位移时,即具有摩擦桩的作用。 10.静力压桩: 静力压桩是在软弱土层中,利用静压力(压桩机自重及配重)将预制桩逐节压入土中的一种沉桩法。 11.护筒:护筒用4—8mm厚钢板制成,内径比钻头直径大100—200 mm,顶面高出地面0.4~0.6 m,上部开1一2个溢浆孔。 12.复打法施工: 复打法是在单打法施工完拔出桩管后,立即在原桩位再放置第二个桩尖,再第二次下沉桩管,将原桩位未凝结的混凝土向四周土中挤压,扩大桩径,然后再第二次灌

微生物学期末考试试题答案

1.细菌特殊构造包括、、、等。(本题2分) 2.溶源性细胞在正常情况下有大约10 -5 细胞会发生现象,这是由于少数溶源细胞中的变成了的缘故。(本题分) 3.营养物质可以通过、、和四种方式进入细胞。(本题2分) 4.控制有害微生物措施中杀灭的方法有和,常用和方法,抑制的方法有和。(本题3分) 5.证明遗传物质的基础是核酸的三个著名的实验为、、。(本题分) 6.微生物基因重组的方式包括、_____、_____和。(本题2分) 1.纯培养是其中()的培养物。 A.只有一种微生物 B.只有细菌生长所需的一种营养物 C.除主要微生物外只有一种微生物 D.没有代谢废物 2.实验室常用的培养细菌的培养基是()。 $ A. 马铃薯培养基 B. 牛肉膏蛋白胨培养基 C.高氏一号培养基 D.麦芽汁培养基 3.己糖单磷酸支路和ED途径是进行()替换的一个机制。 A.微生物中DNA合成 B.光合生物中的光合作用 C.某些种类微生物中的能量代谢 D.化学渗透作用 4.微生物代谢中,硝酸盐和硫酸盐可作为电子受体是在()。 A.无酶时 B.无ATP时 C. 有细胞色素时 D. 无氧时 5.由于控制微生物的目的,灭菌一词指的是()。 A.除去病原微生物 B.降低微生物的数量 ? C.消灭所有的生物 D.只消灭体表的微生物 6.紫外线辐射主要作用于微生物的()。 A. 核酸 B.酶类 C. 糖类 D.细胞壁 7.青霉素族的抗生素主要用于抗()。 A.病毒 B.真菌 C.革兰氏阴性菌 D.革兰氏阳性菌 8.所有下述特征皆适合质粒,除了()之外。 A.它们是自我复制的DNA环 B.它们有10~50个基因 C.它们是细菌存活所必需的成分 D.它们是接合所必需的成分 9.接合时F因子进入受体细胞,受体细胞()。 A.经历裂解 B.快速繁殖 C.变成供体细胞 D.发育出线粒体 — 10.研究不同微生物群落及其环境之间的关系的是()。 A.微生物进化 B.微生物生态学 C.微生物生理学 D.微生物生物化学 四、判断题(每小题1分,共10小题10分)

EDA数字钟实验报告

目录 1.设计思路—————————————————————(3) 1.1总体结构——————————————————(3) 2.方案论证与选择——————————————————(3) 3.单元模块设计部分—————————————————(3)3.1 CNT10 模块的设计———————————————(4)3.2 CNT6 模块的设计———————————————(5)3.3 CNT101模块的设计———————————————(6)3.4 CNT61模块的设计———————————————(7) 3.5 CNT23模块的设计———————————————(8) 4.系统仿真—————————————————————(9) 4.1数字钟的引脚锁定———————————————(9) 4.2数字钟原理图————————————————(12) 4.3数字钟仿真图————————————————(10) 4.4数字钟编译报告———————————————(11) 5.参考文献————————————————————(13)

EDA数字钟设计 中文摘要: 数字钟学习的目的是掌握各类计数器及它们相连的设计方法;掌握多个数码管显示的原理与方法;掌握FPGA技术的层次化设计方法;掌握用VHDL语言的设计思想以及整个数字系统的设计。此数字钟具有时,分,秒计数显示功能,以24小时为计数循环;能实现清零,调节小时,分钟以及整点报时的功能。 关键词:数字钟,计数器,,FPGA,VHDL 1.设计思路 基于VHDL语言,用Top—To--Down的思想进行设计。 1.1 确定总体结构,如图1-1所示。 图1-1 2. 方案论证与选择 方案:设置小时和分,输出整点报时信号和时,分,秒信号。方案采用自顶向下的设计方法,它由秒计数模块,分计数模块,小时计数模块和顶层模块四部分组成。 3. 单元模块设计部分 RES是整个系统的复位键,低电平有效,复位时,各个输出都为零,时间显示0时0分0秒;clk是输入时钟,提供秒信号,上升沿触发,每出发一次,时间增加一秒;HRTMP,MIN10TMP,MINTMPKEYI可以分别设置小时位,10分位,分位,起到调时的作用,高电平有效,有效时,每来一个CLK时钟(1s),所对应的位都将以各自的计数循环; RING是整点报时。

数字电子技术-复习选择填空题汇总(精简)

一、 选择题: 1、在下列逻辑电路中,不是组合逻辑电路的是( D ) A 、译码器 B 、编码器 C 、全加器 D 、寄存器 2、下列触发器中没有约束条件的是( D ) A 、基本RS 触发器 B 、主从RS 触发器 C 、同步RS 触发器 D 、边沿D 触发器 3、555定时器不可以组成 D 。 A.多谐振荡器 B.单稳态触发器 C.施密特触发器 D.J K 触发器 4、编码器(A )优先编码功能,因而( C )多个输入端同时为1。 A 、有 B 、无 C 、允许 D 、不允许 5、( D )触发器可以构成移位寄存器。 A 、基本RS 触发器 B 、主从RS 触发器 C 、同步RS 触发器 D 、边沿D 触发器 6、某触发器的状态转换图如图所示,该触发器应是( C ) A. J-K 触发器 B. R-S 触发器 C. D 触发器 D. T 触发器 7、十进制数6在8421BCD 码中表示为-------------------------------------------------( B ) A.0101 B.0110 C. 0111 D. 1000 8、在图所示电路中,使__ A Y 的电路是---------------------------------------------( A ) A. ○1 B. ○2 C. ○3 D. ○4 9、接通电源电压就能输出矩形脉冲的电路是------------------------------------------( D ) A. 单稳态触发器 B. 施密特触发器 C. D 触发器 D. 多谐振荡器 10、多谐振荡器有-------------------------------------------------------------------------------( C ) A. 两个稳态 B. 一个稳态 C. 没有稳态 D. 不能确定 11、已知输入A 、B 和输出Y 的波形如下图所示,则对应的逻辑门电路是-------( D ) A. 与门 B. 与非门 C. 或非门 D. 异或门 12、下列电路中属于时序逻辑电路的是------------------------------------------------------( B ) A. 编码器 B. 计数器 C. 译码器 D. 数据选择器 13、在某些情况下,使组合逻辑电路产生了竞争与冒险,这是由于信号的---------( A ) A. 延迟 B. 超前 C. 突变 D. 放大

建筑施工技术A考试试题及答案

建筑施工技术期末测试卷 一、单项选择题(只有一个选项正确,共10道小题) 1. 柱类构件纵向钢筋采用绑扎连接时,同一连接区段内的搭接接头面积百分比不宜大于 50% 2. 现浇结构柱内的纵向钢筋可采用以下哪种方法焊接电渣压力焊 3. 某现浇悬臂板,悬臂长度1m,底模拆除时混凝土强度应达到设计强度的100% 4. 混凝土的设计立方体抗压强度标准值为20N/mm2,标准差为1.82N/mm2,,则其试配强度 计算值为24.1 N/mm2 5. 混凝土每组3个试件,其试验结果为20、25、27 (单位N/mm2 ),该组的代表值为25_ 6. 搅拌后达到终凝状态的混凝土,正确的处置方法是不得再继续使用 7. 后张法施工,混凝土强度要求达到何值时,才能张拉预应力钢筋?强度标准值的75% 8. 常温下砌砖时,普通粘土砖应提前1天浇水润湿 9. 采用旋转法吊装厂房柱子时,平面布置应满足柱脚中心、绑扎点和基础杯口中心在起重 机工作半径的圆弧上 10. 防水混凝土的自然养护时间不得少于14天 二、不定项选择题(有不定个选项正确,共5道小题) 11. 对摩擦桩打桩质量控制要求是( ) (A) 以桩尖设计标高为主 (D)以最后贯入度作为参考 12. 对砖砌体砌筑要求正确的是( ) (A) 水平灰缝饱满度不小于80% (C) 水平灰缝厚度应在8-12mm内 13. 当验算混凝土楼板模板的刚度时,应考虑的施工荷载有() (A) 混凝土重量 (D) 钢筋重量 (E) 模板自重 14. 与后张法比较,先张法的特点是( ) (B) 工艺简单 (D)锚具可重复使用件 15. 单层厂房结构的综合吊装法特点是( ) (A) 效率低,施工速度慢 (D) 适合采用桅杆吊 (E) 可以为后续工作提前提供工作面 三、主观题(共8道小题) 16. 什么是混凝土施工缝,施工缝如何处理? 参考答案:通过振捣,使振动力传导给混凝土,混凝土受到强迫振动,拌合物内部的摩擦力 大大降低,产生较好的流动性,且在重力的作用下,较轻的水和气泡上升排出,消除空隙,使混凝土密实,达到规定的强度。

现代生物技术概论试卷

南阳师范学院生命科学与技术学院2013—2014学年第二学期现代生物技术概 论试卷 出卷 人:11级生科1班 一、名词解释。(5个,每个3分。) 1、生物技术 2、基因与基因组 3、细胞工程 4、干细胞 5、菌种退化 二、选择题。(10个,每个3分。) 1、蛋白质工程的实质是( D )。 A.改变氨基酸结构 B.改变蛋白质结构 C.改变肽链结构 D.改变基因结构 2、干扰素经过改造可长期储存,从蛋白质水平上应改变的是( D )。 A.光氨酸 B.谷氨酸 C.精氨酸 D.半光氨酸 3、蛋白质工程的基本操作程序正确的是( C ) 1

① 蛋白质分子结构合成② DNA 合成③ mRNA 合成④ 蛋白质的预期功能⑤ 根据氨基酸的序列推出脱氧核苷酸的序列 A.①→②→③→④→⑤→① B. ⑤→④→③→②→①→② C. ④→①→⑤→②→③→① D. ②→③→⑤→①→②→④ 4、科学家把天竺葵的原生质体和香茅草的原生质体进行诱导融合,培育出的驱蚊草含有香茅醛,能散发出一种特殊的达到驱蚊且对人体无害的效果。下列关于驱蚊草培育的叙述中,错误的是( C ) A.驱蚊草的培育属于细胞工程育种,其优点是能克服远源杂交不亲和的障碍 B. 驱蚊草培育过程要用到纤维素酶、果胶酶、PEG 等试剂或离心、振动、电刺激等方法 C. 驱蚊草培育过程是植物体细胞杂交,不同于植物组织培养,无愈伤组织和试管苗形成 D. 驱蚊草不能通过天竺葵和香茅草杂交而获得是因为不同物种间存在生殖隔离 5.、将胡萝卜韧皮部细胞培养成幼苗时,下列条件中不需要的是( D ) A. 具有完整细胞核的细胞 B. 一定的营养物质和植物激素 C. 离体状态 D. 2

数字钟实验报告

EDA技术课程设计 ——多功能数字钟 学院:城市学院 专业、班级:电子C154 姓名:高阳夏岩 学号:158102 58128 指导老师:安亚军 2017年12月

一实验目的 1、具有时、分、秒记数显示功能,以24小时循环计时。 2、要求数字钟具有清零、调节小时、分钟功能。 3、具有整点报时,整点报时的同时LED灯花样显示 二实验原理 1时钟计数:完成时、分、秒的正确计时并且显示所计的数字;对秒、分——60进制计数,即从0到59循环计数,时钟——24进制计数,即从0到23循环计数,并且在数码管上显示数值。 2时间设置:手动调节分钟、小时,可以对所设计的时钟任意调时间,这样使数字钟真正具有使用功能。我们可以通过实验板上的键7和键4进行任意的调整,因为我们用的时钟信号均是1HZ的,所以每LED灯变化一次就来一个脉冲,即计数一次。 3清零功能:reset为复位键,低电平时实现清零功能,高电平时正常计数。可以根据我们自己任意时间的复位。 4蜂鸣器在整点时有报时信号产生,蜂鸣器报警。产生“滴答.滴答”的报警声音。 5LED灯在时钟显示时有花样显示信号产生。即根据进位情况,LED不停的闪烁,从而产生“花样”信号 三实验内容 1时钟记数部分 1)小时部分 其VHDL描述如下 编译,无误。 经仿真,其波形如下

2)分钟部分 其VHDL描述如下 编译,无误。 经仿真,其波形如下 3)秒部分 其VHDL描述如下

编译,无误。 经仿真,其波形如下 2整点报时部分,其VHDL描述如下 编译,无误。

经仿真,其波形如下 3驱动8位八段共阴扫描数码管的片选驱动信号输出部分 其VHDL描述如下 编译,无误。 经仿真,其波形如下 4驱动八段字形译码输出部分 该模块功能:信号输入后,模块驱动八段字形译码输出,A,B,C,D,E,F,G分别接八段共阴级数码管7个接口,即有字形输出。

相关文档