文档库 最新最全的文档下载
当前位置:文档库 › 单片机多功能时钟

单片机多功能时钟

单片机多功能时钟
单片机多功能时钟

沈阳工程学院

课程设计

设计题目:多功能时钟程序设计

系别:自动化学院班级:测控本121 学生姓名:安波学号:2012316134 指导老师:吕勇军职称:教授

起止日期:2015年1月12日至2015年1月23日

沈阳工程学院

课程设计任务书

课程设计题目:多功能时钟程序设计

系别自动化学院班级测控本121 学生姓名安波学号 2012316134 指导教师吕勇军职称教授

课程设计进行地点:实训F422

任务下达时间: 15年 1月12日

起止日期:15年1月12日起——至15年1月23日止

教研室主任年月日批准

多功能时钟程序设计成绩评定表

系部:自动化学院班级:测控本121 学生姓名: 安波

中文摘要

时钟,自从它发明的那天起,就成为人类的朋友,但随着时间的推移,科学技术的不断发展,人们对时间计量的精度要求越来越高,应用越来越广。怎样让时钟更好的为人民服务,怎样让我们的老朋友焕发青春呢?这就要求人们不断设计出新型时钟。

数字电子钟的设计方法有多种,其中,利用单片机实现的电子钟具有编程灵活,便于电子钟功能的扩充,即可用该电子钟发出各种控制信号,精确度高等特点,同时可以用该电子钟发出各种控制信号。

该数字钟采用液晶显示屏显示其时间与定时时间,即采用P6口输入,P7口输出,由R0输入需显示的时间,再通过延时程序将时间稳定的显示在液晶屏上。校准时间由外部中断4及开关按键控制;定时时间由外部中断3及开关按键控制,KEY3、KEY4键每按一次其时分秒的数字增加一。

关键字: 单片机,数字钟,校时,定时器

目录

1.1设计主要内容及要求

1)编写多功能时钟程序

2)能够显示时、分、秒的时钟程序。

3)具有闹钟功能。

4)能够进行时间调整。

1.2对设计论文撰写内容、格式及字数的要求

1)课程设计论文是体现和总结课程设计成果的载体,一般不应少于

3000字。

2)学生应撰写时应做到文理通顺,内容正确完整,书写工整,装订整齐。

3)论文要求打印,打印时按《沈阳工程学院毕业设计(论文)撰写规范》的要

4)课程设计论文装订顺序为:封面、任务书、成绩评审意见表、中文摘要和关

键词、目录、正文、参考文献。

1.3时间进度安排

本文主要介绍用单片机编程来实现多功能时钟的方法,本设计由单片机C8051F芯片、LED灯液晶显示屏为核心,辅以必要的程序,构成了一个单片机数字时钟。与传统时钟相比,它具有走时精确,显示直观等特点。它的计时周期为12小时,分上下午,显满刻度为“11时59分59秒”,然后上下午交替,另外具有校时、闹钟显示星期等功能等特点。

2.1计时

当T0进入中断后,先给T0计时1s,再计时60s;当秒计数满60s后开始分的计数,同时将秒清零,否则返回程序继续执行;同理当分计数到60min,开始时的计时并共计数12次,与此同时分、秒清零。当完成12小时的计时后,切换上下午,当从下午切换到上午时为12:00:00星期加一,加到8时再变到1,然后返回主程序继续。

2.2校时

校时就是对时间进行调整,现将其赋一初值,然后将初值带入计时程序中,然后将其作为初值,计时从该值开始显示.。其中初值的设置由外部中断KEY3和开关按键设定,K1、K2、K3、K4、K6分别控制时、分、秒、上下午、星期。

2.3闹铃

定时程序实则就是让系统设定一个闹铃时间,并使LED灯在到达时间时亮。先判断秒是否相等,不等则继续,相等则判断分,同理分相等时判断时、上下午、星期,当系统设定的秒、分、时、上下午、星期与显示的时间都相等时跳到程序TSR使LED亮。其中初值的设置由外部中断KEY4和开关按键设定,K1、K2、K3、K4、K6分别控制时、分、秒、上下午、星期。

3设计流程图

4各模块设计

4.1时钟部分

时钟程序分析

主程序主要是使各端口使能,保证各端口正常使用(主程序请参考附录)。T0工作于定时方式1,进行16位计数。其中秒的地址为30H,分的地址为31H,小时的地址为32H,上下午的地址是50H,星期的地址是34H。

当T0进入中断后,先给T0赋初值3CB0,定时50ms,循环20次计时1s,再跳入子程序ABC中循环60次计时60s;当秒计数满60s后开始分的计数,同时将秒清零重新计时,否则返回程序继续执行;同理当分计数到60min,程序开始时的计时并共计数12次,当计数满12次时,上下午翻转,计满13次时时针变为1,与此同时分、秒清零需重新开始计数,当从下午变成上午时,星期加1,星期计满7次变为1。

时钟部分程序

$INCLUDE(C8051F020.INC)

ORG 0000H

AJMP MAIN

ORG 000BH

AJMP T0

ORG 0093H

LJMP KEY3

ORG 009BH

LJMP KEY4

ORG 0100H

MAIN: MOV WDTCN,#0DEH ;关看门狗

MOV WDTCN,#0ADH

MOV OSCICN,#05H ;内部振荡4MHz

MOV P1MDOUT,#0FFH ;设置P1的输出方式

MOV P74OUT, #0F0H ;端口6、端口7输出方式为推挽输出

MOV CKCON,#00H ;T0定时器12分频

MOV TMOD,#01H ;T0处于工作方式一,16位

MOV TCON,#10H ;TR0=1,允许T0计数

MOV XBR2,#40H ;交叉开关总使能

MOV EIE2,#30H ;中断6、中断7使能

MOV P3IF,#0CH ;中断7上升沿触发

MOV 50H,#00H

MOV 60H,#00H

MOV TH0,#3CH ;赋初值

MOV TL0,#0B0H

MOV 30H,#00H ;秒存储地址

MOV 31H,#00H ;分存储地址

MOV 32H,#12H ;时存储地址

MOV 33H,#00H ;上下午储存地址

MOV 34H,#01H ; 星期储存地址

MOV 40H,#00H

MOV 41H,#00H

MOV 42H,#12H

MOV 43H,#00H

MOV 44H,#01H

MOV R1,#04H ;1s循环次数

MOV IE,#82H ;中断使能

AJMP $

T0: MOV TL0,#0B0H ;定时50ms

MOV TH0,#3CH

DJNZ R1,X ;计时1s

MOV R1,#04H

LCALL ABC ;跳转到计时程序

LCALL DING ;跳转到定时程序

LCALL TIME ;跳转到显示程序

X: RETI

ABC: MOV A,30H

ADD A,#1 ;秒加1

DA A ;做十进制调整

MOV 30H,A

CJNE A,#60H,Y ;是否等于60s?若不是,则跳至Y MOV 30H,#00H ;若是,则30H清零

MOV A,31H

ADD A, #1 ;分加1

DA A ;做十进制调整

MOV 31H,A

CJNE A,#60H,Y ;是否等于60s?若不是,则跳至Y MOV 31H,#00H ;若是,则31H清零

MOV A,32H

ADD A,#1 ;时加1

DA A ;做十进制调整

MOV 32H,A

CJNE A,#12H,L

MOV A,31H

CJNE A,#00H,L

MOV A,30H

CJNE A,#00H,L

MOV A,50H

CPL A

MOV 50H,A

CJNE A,#00H,Y

MOV A,34H

ADD A,#01H

DA A

MOV 34H,A

CJNE A,#08H,Y

MOV 34H,#01H

L: MOV A,32H

CJNE A,#13H,Y

MOV 32H,#01H

Y:RET

4.2定时部分

定时程序分析

定时程序实则就是人为设定一个闹铃时间,并使LED灯在到达时间时亮。

将秒赋予A,判断系统是否与设定的一致,不等则继续执行下一条指令,相等则跳转到lop1判断分;再将分赋予A,判断系统是否与设定的一致,不等则继续执行下一条指令,相等则跳转到lop2判断时;然后将时赋予A,判断系统是否与设定的一致,不相等则继续下一条指令,相等则跳到LOP3判断上下午;再将上下午赋予A,判断系统是否与设定的一致,不相等则继续下一条指令,相等则跳到lop4判断星期,将星期赋予A,判断系统是否与设定的相等,不相等则继续下一条指令,相等时跳转到TSR子程序。

定时时间由外部中断4控制,每按一下,其值增加一,时、分、秒、上下午星期由开关按键K1,K2,K3,K4、K6控制。判断位00H、01H、02H、03、05是否为0,哪个不为0跳转到相应的时、分、秒、上下午、星期程序中。在42H、41H、40H、60H、44H中存放时、分、秒、上下午、星期。当系统设定的秒、分、时、上下午、星期与显示的时间都相等时LED灯亮,表示闹铃时间到。将K1拨到0时,按下KEY4,可熄灭LED灯。

定时部分程序

DING: MOV A,30H ;定时程序

CJNE A,40H,N

AJMP LOP1

LOP1: MOV A,31H

CJNE A,41H,N

AJMP LOP2

LOP2: MOV A,32H

CJNE A,42H,N

AJMP LOP3

LOP3: MOV A,50H

CJNE A,60H,N

AJMP LOP4

LOP4:MOV A,34H

CJNE A,44H,N

AJMP TSR

N: RET

TSR: MOV P1,#00H

RET

KEY4: LCALL T2

LCALL TIME

MOV P3IF,#00H

RETI

T2: MOV 20H,P5 ;定时程序JNB 00H,SHI1

JNB 01H,FEN1

JNB 02H,MIAO1

JNB 03H,XINGQI1

JNB 05H,ZHOU1

RET

ZHOU1: MOV A,44H

ADD A,#1

DA A

MOV 44H,A

CJNE A,#08H,MB

MOV 44H,#01H

RET

XINGQI1:MOV A,60H

CPL A

MOV 60H,A

RET

SHI1: MOV P1,#0FFH

MOV A,42H

ADD A,#1

DA A MOV 42H,A

CJNE A,#13H ,MB

MOV 42H,#1

RET

FEN1: MOV A,41H

ADD A,#1

DA A

MOV 41H,A

CJNE A,#60H ,MB

MOV 41H,#0

RET

MIAO1:MOV A,40H

ADD A,#1

DA A

MOV 40H,A

CJNE A,#60H ,MB

MOV 40H,#0

MB: RET

4.3校时部分

校时程序分析

校时部分就是在系统时间不准确的情况下,给系统赋定值,使系统时间可以从该值开始变化,使用外部中断KEY3,先给30H、31H、32H、50H、34H赋所需时间,然后调用程序将其显示。其中进入中断后调用子程序T1可以由KEY3键设置所定时间每按一次KEY3键值增加一,是调整时还是分或秒,可由开关量输入键K1、K2、K3、K4、K5分别控制。其主程序中加入了外不中断的有关指令.但需注意的是,在调解时除打开时、分、秒上下午、星期控制键外还需打开K11键。(主程序只给出所加指令)

校时部分程序

KEY3: LCALL T1

LCALL TIME

MOV P3IF,#00H

RETI

T1: MOV 20H,P5 ;校准程序

JNB 00H,SHI

JNB 01H,FEN

JNB 02H,MIAO

JNB 03H,XINGQI

JNB 05H,ZHOU

RET

ZHOU: MOV A,34H

ADD A,#1

DA A

MOV 34H,A

CJNE A,#08H,MN

MOV 34H,#01H

RET

XINGQI:MOV A,50H

CPL A

MOV 50H,A

RET

SHI:MOV A,32H

ADD A,#1

DA A MOV 32H,A

CJNE A,#13H ,MN

MOV 32H,#01H RET

FEN: MOV A,31H

ADD A,#1

DA A MOV 31H,A

CJNE A,#60H ,MN MOV 31H,#0

RET

MIAO:MOV A,30H

ADD A,#1

DA A

MOV 30H,A

CJNE A,#60H ,MN

MOV 30H,#0

MN: RET

4.4显示部分

显示程序分析

显示程序就是将程序中的时分秒显示在液晶显示屏上使其看起来更直观、方便。其中第一行显示系统运行时间,第二行显示定时时间。

该程序主要根据老师所给程序改编,利用子程序LINE设定显示屏的主要参数.让时间显示在上一行且只显示一个时分秒,如“12:12:12PMXQ1”,不造成显示多个的后果。NCD为常函数表,将0-9、A、P、M、X、Q数字和字母依次存放到以左边标号为起始地址的存储单元中。TIME中就是将时分秒的十位、各位分别赋予R0,还有判断50H中是00H还是0FFH,如果是00H就显示上午AM,然后星期加一,如果是0FFH就显示下午PM,然背后调用查表程序将其显示在液晶屏上。值得注意的是:时与分、分与秒之间需将冒号也显示出来。同理,定时时间的显示在第二行,由TIME1控制。

显示部分程序

TIME: CLR A

MOV XBR0, A

LCALL LCD

MOV DPTR,#NCD

MOV A,32H

SWAP A

MOV R0,A

LCALL LINE1

LCALL DELAY

MOV R0,32H

LCALL LINE1

LCALL DELAY

MOV R0,#10

LCALL LINE1

LCALL DELAY

MOV A,31H

SWAP A

MOV R0,A

LCALL LINE1

LCALL DELAY

MOV R0,31H

LCALL LINE1

LCALL DELAY

MOV R0,#10

LCALL LINE1

LCALL DELAY

MOV A,30H

SWAP A

MOV R0,A

LCALL LINE1

LCALL DELAY

MOV R0,30H

LCALL LINE1

LCALL DELAY

MOV A,50H CJNE A,#0FFH,LOPA

MOV R0,#12

LCALL LINE1

LCALL DELAY

MOV R0,#13

LCALL LINE1

LCALL DELAY

AJMP LOPP

LOPA: MOV R0,#11

LCALL LINE1

LCALL DELAY

MOV R0,#13

LCALL LINE1

LCALL DELAY

LOPP: MOV R0,#14

LCALL LINE1

LCALL DELAY

MOV R0,#15

LCALL LINE1

LCALL DELAY

MOV R0,34H

LCALL LINE1

LCALL DELAY

MOV DPTR,#NED

MOV P7,#0C0H MOV P6,#1H

MOV P6,#0H

MOV R0,#11

LCALL LINE1

LCALL DELAY

MOV A,42H

SWAP A

MOV R0,A

LCALL LINE1

LCALL DELAY

MOV R0,42H

LCALL LINE1

LCALL DELAY

MOV R0,#10

LCALL LINE1

LCALL DELAY

MOV A,41H

SWAP A

MOV R0,A

LCALL LINE1

LCALL DELAY

MOV R0,41H

LCALL LINE1

LCALL DELAY

MOV R0,#10

LCALL LINE1

LCALL DELAY

MOV A,40H

SWAP A

MOV R0,A

LCALL LINE1

LCALL DELAY

MOV R0,40H

LCALL LINE1

LCALL DELAY

MOV A,60H

CJNE A,#0FFH,LOPA1 MOV R0,#12 LCALL LINE1

LCALL DELAY

MOV R0,#13

LCALL LINE1

LCALL DELAY

LJMP LOP44 LOPA1:MOV R0,#11

LCALL LINE1

LCALL DELAY

MOV R0,#13

LCALL LINE1

LCALL DELAY

LOP44:MOV R0,#14

LCALL LINE1

LCALL DELAY

MOV R0,#15

LCALL LINE1

LCALL DELAY

MOV R0,44H

LCALL LINE1

LCALL DELAY1

RET

LINE1: MOV A,R0

ANL A,#0FH

MOVC A,@A+DPTR MOV P7,A

MOV P6,#05H

MOV P6,#04H

RET

LCD: LCALL DELAY

MOV P7, #38H

MOV P6, #01H

MOV P6, #00H

LCALL DELAY

MOV P7, #0EH

MOV P6, #01H

MOV P6, #00H

LCALL DELAY

MOV P7, #06H

MOV P6, #01H

MOV P6, #00H

LCALL DELAY

MOV P7, #01H

MOV P6, #01H

MOV P6, #00H

LCALL DELAY

RET

DELAY: MOV R6,#50 DELAY0: MOV R5,#50

DJNZ R5,$

DJNZ R6,DELAY0 RET

DELAY1: MOV R4,#35 ;DD DELAY2: MOV R3,#150 DELAY3: MOV R2,#250

DJNZ R2,$

DJNZ R3,DELAY3

DJNZ R4,DELAY2

单片机电子时钟程序

程序开始 ORG 0000H AJMP MAIN ORG 000BH AJMP CLOCK ORG 0100H 主程序开始: MAIN: MOV SP,#70H MOV 6EH,#00H ;显示缓存器初始值设定 MOV 6DH,#00H MOV 6CH,#00H MOV 6BH,#00H MOV 6AH,#00H MOV 69H,#00H MOV 50H,#00H ;秒,分,小时初始值设定 MOV 51H,#00H MOV 52H,#00H MOV DPTR,#0F003H ;8255端口定义,PA,PB为输出 MOV A,#80H MOVX @DPTR,A MOV 4FH,#00H MOV TMOD,#01H ;定时器T0及TL0,TH0初始值设定 MOV TH0,#3CH MOV TL0,#0B0H SETB EA ;开总中断 SETB ET0 ;开定时器中断 SETB TR0 循环程序开始,并显示时间: START: MOV A,50H LCALL BCD MOV 6AH,A ;显示秒十位 MOV 69H,B ;显示秒个位 MOV A,51H LCALL BCD MOV 6CH,A ;显示分十位 MOV 6BH,B ;显示分个位 MOV A,52H LCALL BCD ;调用十六进制至BCD码转换子程序 MOV 6EH,A MOV 6DH,B LCALL DIS ;调用显示子程序 LCALL KEY ;调用键盘子程序 AJMP START ;主程序结束

BCD: MOV B,#0AH ;BCD码转换子程序 DIV AB RET CLOCK: PUSH ACC ;保护现场 PUSH PSW CLR TR0 MOV TH0,#3CH ;定时参数重新设置 MOV TL0,#0B0H SETB TR0 INC 4FH ;100ms单元加1 MOV A,4FH CJNE A,#0AH,D0 ;100ms单元=10,就秒单元加1 MOV 4FH,#00H ;100ms单元内容清0 MOV A,50H ADD A,#01H ;秒单元加1 MOV 50H,A CJNE A,#3CH,D0 ;秒单元内容=60,则秒单元清0 MOV 50H,#00H MOV A,51H ;分,时单元代码 ADD A,#01H MOV 51H,A CJNE A,#3CH,D0 MOV 51H,#00H MOV A,52H ADD A,#01H LCALL RING ;报警子程序 MOV 52H,A CJNE A,#18H,D0 MOV 52H,#00H D0: POP PSW ;出栈,退出中断子程序 POP ACC RETI RING: MOV R3,A CLR P1.0 LCALL DELL50 SETB P1.0 LCALL DELL50 DJNZ R3,RING RET 键盘子程序: KEY: JB P1.7,MSET ;秒设定子程序 LCALL DELL ;防抖动延时 JB P1.7,MSET INC 50H

多功能数字时钟的功能和特点

多功能数字时钟的功能和特点: 1. 上电1s复位功能,手动复位功能,复位会给出1s振铃信号; 2. 基本时钟计时功能; 3. 闹钟功能; 4. 计数器倒计时功能; 5. 整点报时功能; 6. 闹钟具有懒人模式功能,如果启动懒人模式,闹钟振铃每隔一分钟就响一次,如果不启动懒人模式,闹钟振铃响一分钟就停止; 7. 任意键关闭闹钟振铃功能(与懒人模式有关); 8. 计数器自动装载功能,可实现00h:00m:15s---99h:59m:59s的循环定时振铃; 9. 计数器手动启动功能; 10. 一键启动或关闭闹钟或者计数器功能; 11. 自动检验时钟、闹钟、和计数器设置数字的正确性,不正确的数字不能被输入系统; 12. 液晶LCD1602显示,可同时查看时间和闹钟设置时间或者计数器时间; 13. 菜单设置功能,人机界面友好; 14. 30秒不操作,自动退出菜单功能; 15. 8个基本按键:“↑”、“↓”、“←”、“→”、“确定”、“取消”、“闹钟开关alarm_sw”和“计数器开关cntalm_sw”完成全部操作; 16. 可以插上4×4小键盘进行快速操作; 17. 键盘自动消抖; 18. 4×4小键盘快捷键; 19. 用4×4小键盘设置时间或闹钟或计数器数字时,正确设置一位数字后,自动移到下一位数字进行设置; 20. “↑”、“↓”键连击功能实现快速数字设定; 21. 时钟后台计时功能,查看菜单不会影响时钟计时。 22. 各种振铃声音不同,容易分辨,声音洪亮(要换成脉冲型的蜂鸣器)。 ************************************************************************ 多功能数字时钟的使用方法: 一、时钟的设定和显示: 1. 正常显示时间的界面下,液晶上第一行显示当前时钟的时间,格式为:“Time : h1h0:m1m0:s1s0”,其中“:”会0.5s亮0.5s灭。 第二行可以显示设定的闹钟时间、或当前的计数器计数值、或计数器的设定时间,可以通过接口板或者4×4小键盘上的“↑”、“↓”、“←”、“→”键来选择某一个来显示。 例如:选择显示设定的闹钟时间,格式为:“Alarm : h1h0:m1m0:s1s0”,其中“:”一直亮。例如:选择显示当前的计数器计数值,格式为:“CntTim: h1h0:m1m0:s1s0”,其中“:”一直亮。 例如:选择显示计数器的设定时间,格式为:“CntBuf: h1h0:m1m0:s1s0”,其中“:”一直亮。 2. 首先,在正常显示时间的界面下按“确定”键(接口板s6键或者4×4小键盘上的“确定”

基于单片机数字时钟的设计

基于单片机数字时钟的设计 第一章绪论 1.1数字时钟的背景 1.2数字时钟的意义 1.3数字时钟的应用 第二章整体设计方案 2.1 单片机的选择 2.2 单片机的基本结构 第三章数字是中的硬件设计 3.1最小系统设计 3.2液晶显示电器 3.3键盘控制电路 第四章数字时钟的软件设计 4.1系统软件设计流程图 4.2数字是中的原理图 4.3主程序 4.4时钟设置子程序 4.5定时器中断子程序 4.6液晶显示子程序 4.7按键控制子程序 第五章系统仿真 1.1数字时钟的背景 20世纪末,电子技术获得了飞速的发展,在其推动下,现代电子产品几乎渗透了各个领域,有力地推动了社会生产力的发展和社会信息化程度的提高,同时也使现代电子产品性能及一步提高,产品更新换代的节奏也越来越快。时间对人们来说总是那么宝贵,工作的忙碌性和繁杂性容易使人忘记当前的时间。忘记了要做的事情,当事情不是很重要的时候,这种遗忘无伤大雅。但是,一时的耽误可能酿成大祸。 目前,单片机正朝着高性能和多品种方向发展趋势将是进一步向着CMOS化,低功耗,小体积,大容量,高性能,低价格和外围电路内装化等几个方面发展。下面是单片机的主要发展趋势单片机应用的重要意义还在于,他从根本上改变了传统的控制系统设计思想和设计方法。从前必须由模拟电路或数字电路实现的大部分功能,现在已能用单片机通过软件方法来实现了。这种软件代替硬件的控制技术也称为微控制技术,是传统控制技术的一次改革。

单片机模块中最常见的是数字时钟,数字钟是一种用数字电路实现时,分,秒计时的装置,与机械时钟相比具有更高的准确性和直观性,且无机械装置,具有更长的使用寿命,因此得到了广泛的使用。 1.2数字时钟的意义 数字钟是采用数字电路实现对.时,分,秒.数字显示的计时装置,广泛用于个人家庭,车站, 码头办公室等公共场所,成为人们日常生活中不可少的必需品,由于数字集成电路的发展和石英晶体振荡器的广泛应用,使得数字钟的精度,远远超过老式钟表, 钟表的数字化给人们生产生活带来了极大的方便,而且大大地扩展了钟表原先的报时功能。诸如定时自动报警、按时自动打铃、时间程序自动控制、定时广播、自动起闭路灯、定时开关烘箱、通断动力设备、甚至各种定时电气的自动启用等,所有这些,都是以钟表数字化为基础的。因此,研究数字钟及扩大其应用,有着非常现实的意义。 1.3数字时钟的应用 数字时钟已成为人们日常生活中必不可少的,广泛用于个人家庭以及车站,码头,剧场办公室等公共场所,给人们的生活,学习,工作,娱乐带来极大的方便。由于数字集成电路技术的发展和采用了先进的石英技术,使数字钟具有走时准确,性能稳定,携带方便等优点,它还用于计时,自动报时以及自动控制等各个领域。 第二章整体设计方案 2.1单片机的选择 单片机微型计算机是微型计算机的一个重要分支,也是颇具生命力的机种。单片机微型计算机简称单片机,特别适用于控制领域,故又称微控制器 通常,单片机由单块集成电路芯片构成,内部包含计算机的基本功能部件;中央处理器,存储器和I/O接口电路等。因此,单片机只需要和适当的软件及外部设备相结合,成为一个单片机控制系统。 单片机经过1,2,3,3代的发展,正朝着多功耗CMOS化,微型单片化,低电压,低功耗,主流与多品种共存等方向发展。其发展趋势不外乎以下几个方面:, 1.低功耗CMOS化 MCS-51系列的8031推出时的功耗达630mW,而现在的单片机普遍都在100mW 左右,随着对单片机功耗要求越来越低,现在的各个单片机制造商基本都采用了CMOS(互补金属氧化物半导体工艺)。象80C51就采用了HMOS(即高密度金属氧化物半导体工艺)和CHMOS(互补高密度金属氧化物半导体工艺)。CMOS虽然功耗较低,但由于其物理特征决定其工作速度不够高,而CHMOS则具备了高速和低功耗的特点,这些特征,更适合于在要求低功耗象电池供电的应用场合。所以这种工艺将是今后一段时期单片机发展的主要途径 2.微型单片化 现在常规的单片机普遍都是将中央处理器(CPU)、随机存取数据存储(RAM)、只读程序存储器(ROM)、并行和串行通信接口,中断系统、定时电路、时钟电路集成在一块单一的芯片上,增强型的单片机集成了如A/D转换器、PMW(脉宽调制电路)、WDT(看门狗)、有些单片机将LCD(液晶)驱动电路都集成在单一的芯片上,这样单片机包含的单元电路就更多,功能就越强大。甚至单片机厂商还可以根据用户的要求量身定做,制造出具有自己特色的单片机芯片。此外,现在的产品普遍要求体积小、重量轻,这就要求单片机除了功能强和功耗低外,还要求其体积要小。现在的许多单片机都具有多种封装形式,其中SMD(表面封装)越来越受欢迎,使得由单片机构成的系统正朝微型化方向发展。 3.主流与多品种共存 现在虽然单片机的品种繁多,各具特色,但仍以80C51为核心的单片机占主流,兼容

多功能数字时钟设计

课程设计报告 学生姓名:刘佳 学 号:2017307010102 学院:电气工程学院 班级:通信171 题目:多功能数字时钟设计 指导教师:刘晓峰职称: 高级实验师指导教师:杨修宇职称: 实验师 2018 年 12 月 28 日

目录 1. 设计要求 (3) 2. 设计原理及框图 (3) 2.1 模块组成 (3) 3. 器件说明 (4) 4. 设计过程 (8) 4.1显示电路模块设计 (8) 4.2时钟脉冲电路模块设计 (9) 4.3计时模块电路设计 (10) 4.4计时校时控制模块电路设计 (11) 4.5整点报时与定点报时模块电路设计 (12) 5. 仿真调试过程 (13) 6. 收音机原理及焊接调试 (14) 6.1收音机原理 (14) 6.2收音机焊接工艺要求 (16) 6.3收音机调试过程 (16) 7. 设计体会及收获 (17)

1. 设计要求 (1)以24小时为一个计时周期,稳定的显示时、分、秒。 (2)当电路发生走时误差时,可以对所设计的时钟进行校时。 (3)电路有整点报时功能。报时声响为四低一高,最后一响高音正好为整点。 (4)电路具有闹钟功能,当闹钟所设定时间与时钟计时相同时,发出提示音, 时长为一分钟。 2. 设计原理及框图 2.1 模块组成 多功能数字时钟由时钟脉冲电路模块、秒计时模块、分计时模块、时计时模块、显示模块、计时校时控制模块、定点报时模块与整点报时模块组。如图1所示。 图1 多功能数字时钟原理框图 多功能数字时钟由时钟脉冲电路模块、秒计时模块、分计时模块、时计时模块、显示模块、计时校时控制模块、定点报时模块与整点报时模块组成。时钟脉冲电路模块由振荡电路与分频电路组成,为数字时钟提供秒脉冲信号、定点整点报时信号以及调试信号。计时电路包括“秒”计时、“分”计时与“时”计时电

单片机电子时钟的设计

单片机电子时钟的设计 ----------- 基于单片机的电子时钟 专业:运算机科学与技术 班级:专升本1班 小组成员:张琴张娜赵慧佩 学号:23 24 25

基于单片机的电子时钟设计 摘要 20世纪末,电子技术获得了飞速的进展,在其推动下,现代电子产品几乎渗透了社会的各个领域,有力地推动了社会生产力的进展和社会信息化程度的提高,同时也使现代电子产品性能进一步提高,产品更新换代的节奏也越来越快。 现代生活的人们越来越重视起了时刻观念,能够说是时刻和金钱划上了等号。关于那些对时刻把握专门严格和准确的人或事来说,时刻的不准确会带来专门大的苦恼,因此以数码管为显示器的时钟比指针式的时钟表现出了专门大的优势。数码管显示的时刻简单明了而且读 数快、时刻准确显示到秒。而机械式的依靠于晶体震荡器,可能会导致误差。 数字钟是采纳数字电路实现对“时”、“分”、“秒”数字显示的计时装置。数字钟的精度、稳固度远远超过老式机械钟。在这次设计中,我们采纳LED数码管显示时、分、秒,以24 小时计时方式,依照数码管动态显示原理来进行显示,用12MHz的晶振产生振荡脉冲,定时器计数。在此次设计中,电路具有显示时刻的其本功能,还能够实现对时刻的调整。数字钟是其小巧,价格低廉,走时精度高,使用方便,功能多,便于集成化而受宽敞消费的喜爱,因此得到了广泛的使用。 .

目录 第一章绪论 1.1 数字电子钟的背景 (4) 1.2 数字电子钟的意义 (4) 1.3 数字电子钟的应用 (4) 第二章整体设计方案 2.1 单片机的选择 (5) 2.2 单片机的差不多结构 (7) 第三章数字钟的硬件设计 3.1 最小系统设计 (11) 3.2 LED显示电路 (14) 第四章数字钟的软件设计 4.1 系统软件设计流程图 (16) 4.2 数字电子钟的原理图 (19) 第五章系统仿真 5.1 PROTUES软件介绍 (20) 5.2 电子钟系统PROTUES仿真 (21) 第六章调试与功能说明 6.1 硬盘调试 (22) 6.2 系统性能测试与功能说明 (22) 6.3 系统时钟误差分析 (22) 6.4 软件调试问题及解决 (22) 附件:主程序 (23)

单片机电子时钟显示

单片机综合实验报告 题目:电子时钟(LCD)显示 班级: 0310405班 学号: 学生姓名:张金龙 指导老师:高林 2013年 6 月 17 日 一、实验内容: 以AT89C51单片机为核心的时钟,在LCD显示器上显示当前的时间: ●使用字符型LCD显示器显示当前时间。 ●显示格式为“时时:分分:秒秒”。 ●用4个功能键操作来设置当前时间,4个功能键接在P1.0~P1.3引脚上。 功能键K1~K4功能如下。 ●K1—进入设置现在的时间。 ●K2—设置小时。 ●K3—设置分钟。 ●K4—确认完成设置。 程序执行后工作指示灯LED闪动,表示程序开始执行,LCD显示“00:00:00”,然后开始计时。 二、实验电路及功能说明 1)单片机主控制模块

以AT89C51单片机为核心进行一系列控制。 2)时钟显示模块 用1602为LCD显示模块,把对应的引脚和最小系统上的引脚相连, 连接后用初始化程序对其进行简单的功能测试。测试成功后即可为实 验所用,如图: 3)时间调整电路 用4个功能键操作来设置当前时间,4个功能键接在P1.0~P1.3引脚 上。功能键K1~K4功能如下。K1—进入设置现在的时间。K2—设 置小时。K3—设置分钟。K4—确认完成设置。如图: 三、实验程序流程图: 主程序: 时钟主程序流程 子程序:

保护现场 设置计数初值 1S到? (40H)=10? 0 (40H)(40H)+1 (40H)(41H)+1 (41H) (46H)=4? 0 (46H) (0)(47H) 恢复现场 返回 N N 中断服务流程图 (41H)=5? 0 (41H) (43H)=10? 0 (43H)(43H)+1 (43H)(44H)+1 (44H) (44H)=5? 0 (44H) (46H)+1 (46H) (47H)=2? (46H)+1 (46H) N N (46H)=10? 0 (46H) (47)+1 (47) N N 四、实验结果分析

多功能时钟(万年历)设计

多功能时钟(万年历) 设 计 报 告 专业电子信息科学与技术 班级13级电子专升本 姓名韩科峰 学号130522012 考勤成绩设计成绩 调试成绩报告成绩 总成绩

一、课题名称 多功能时钟(万年历)设计 二、内容摘要 美国DALLAS公司推出的具有涓细电流充电能的低功耗实时时钟电路DS1302。它可以对年、月、日、周日、时、分、秒进行计时,还具有闰年补偿等多种功能,而且DS1302的使用寿命长,误差小。对于数字电子万年历采用直观的数字显示,可以同时显示年、月、日、周日、时、分、秒和温度等信息,还具有时间校准等功能。该电路采用AT89S52单片机作为核心,功耗小,能在3V的低压工作,电压可选用3~5V电压供电。 综上所述此万年历具有读取方便、显示直观、功能多样、电路简洁、成本低廉等诸多优点,符合电子仪器仪表的发展趋势,具有广阔的市场前景。 本设计是基于单片机进行的电子万年历设计,可以显示年月日时分秒及周信息,具有可调整日期和时间功能。在设计的同时对单片机的理论基础和外围扩展知识进行了比较全面准备。 关键词: 三、设计指标(要求); 1、显示时间、日期由按键选择显示(日期时间可调整)。 2、可设置闹钟功能; 3、制作PC机设置界面软件,由PC机可完成对时钟的各项设置 四、系统框图;

STC12C5A08S2 单片机 DS1302时钟模块 五、各单元电路设计、参数计算和元器件选择 4位共阴极数码管 按键

六、工作原理 DS1302在每次进行读、写程序前都必须初始化,先把SCLK端置“0”,接着把RST端置“1”,最后才给予SCLK脉冲;DS1302的控制字的位7必须置1,若为0则不能把对DS1302进行读写数据。对于位6,若对程序进行读/写时RAM=1,对时间进行读/写时,CK=0,位1至位5指操作单元的地址。位0是读/写操作位,进行读操作时,该位为1;该位为0则表示进行的是写操作。控制字节总是从最低位开始输入/输出的。 “CH”是时钟暂停标志位,当该位为1时,时钟振荡器停止,DS1302处于低功耗状态;当该位为0时,时钟开始运行。“WP”是写保护位,在任何的对时钟和RAM的写操作之前,WP必须为0。当“WP”

基于单片机的时钟控制器设计论文

单片机原理与应用技术课程设计报告 基于单片机控制的时钟控制器 专业班级: _电气XX班_ __ 姓名:__ ___XXX__ ___ 时间:2013/11/25~12/15 指导教师: XXXX XXX 2013年12月11日

基于单片机控制的时钟控制器课程设计任务书1。设计目的与要求 设计出一个基于单片机控制的时钟控制器。通过向单片机输入不同的指令可以实现24小时制时钟的基本显示和连续的调时,调分和调秒的功能,同时又扩展了整点报时功能。该电路硬件较为简单、计时精度高、可控性好,可以随时调整和设定时间,并且调时间的误差小,操作简单、通用性强。 (1)基本功能 <1>、显示:可以显示时、分和秒 <2>、调时功能:时(0-24)、分和秒(0-60)可以连续可调 (2)性能:时间日误差< 2秒 (3)扩展功能 <1>.增加整点报时功能 <2>.增加闹钟任意设定功能 2.设计内容 (1)画出电路原理图,正确使用逻辑关系; (2)确定元器件及元件参数; (3)进行电路模拟仿真; (4)SCH文件生成与打印输出; 3.编写设计报告 写出设计的全过程,附上有关资料和图纸,有心得体会。 4.答辩 在规定时间内,完成叙述并回答问题。

目录 摘要 (1) 1. 引言 (1) 2. 设计目的和要求 (1) 3. 总体设计方案 (1) 3.1 方案设计要求 (1) 3.2 方案设计与论证 (1) 3.3 整体设计框图 (2) 3.4 系统设计流程图 (2) 4. 设计原理分析 (3) 4.1 外接晶振电路 (3) 4.2 复位电路 (3) 4.3 数码管显示电路 (3) 4.4 键盘控制电路 (4) 4.5 Proteus仿真电路 (4) 4.6 单片机程序的编写 (5) 4.7 电路的检测 (5) 4.8 CAD电路的连接及PCB电路布线并做出电路板 (5) 4.9 软件与硬件的调试 (5) 5. 总结与体会 (5) 6. 附录 (5) 6.1 CAD电路连接图 (5) 6.2 PCB电路布线图 (6) 6.3 时钟控制器参考源程序 (6) 7. 参考文献 (13)

多功能数字时钟的设计

多功能数字钟设计与制作 一、引言 中国是世界上最早发明计时仪器的国家。有史料记载,汉武帝太初年间(纪元前104-101年)由落下闳创造了我国最早的表示天体运行的仪器——浑天仪。东汉时期(公元130年)张衡创造了水运浑天仪,为世界上最早的以水为动力的观测天象的机械计时器,是世界机械天文钟的先驱。盛唐时代,公元725年张遂(又称一行)和梁令瓒等人创制了水运浑天铜仪,它不但能演示天球和日、月的运动,而且立了两个木人,按时击鼓,按时打钟。第一个机械钟的灵魂——擒纵器用于计时器,这是中国科学家对人类计时科学的伟大贡献。它比十四世纪欧洲出现的机械钟先行了六个世纪。 第一只石英钟出现在二十世纪二十年代,从三十年代开始得到了推广,从六十年代开始,由于应用半导体技术,成功地解决了制造日用石英钟问题,石英电子技术在计时领域得到了广泛的应用。并取代机械钟做了更精确的时间标准。早在1880年,法国人皮埃尔·居里和保罗·雅克·居里就发现了石英晶体有压电的特性,这是制造钟表“心脏”的良好材料。科学家以石英晶体制成的振荡计时器和电子钟组合制成了石英钟。经过测试,一只高精度的石英钟表,每年的误差仅为 3~5秒。1942年,著名的英国格林尼治天文台也开始采用了石英钟作为计时工具。在许多场合,它还经常被列为频率的基本标准,用于日常测量与检测。大约在 1970 年前后,石英钟表开始进入市场,风靡全球。随着科学的进步,精密的电子元件不断涌现,石英钟表也开始变得小巧精致,它既是实用品,也是装饰品。它为人们的生活提供方便,更为人们的生活增添了新的色彩。在现行情况下根据简单实用强的、走时准确进行设计。而实验证明,钟表的振荡部分采用石英晶体作为时基信号源时,走时更精确、调整更方便。钟是一种计时的器具,它的出现开拓了时间计量的新里程。提起时钟大家都很熟悉,它是给我们指明时间的一种计时器,并且我们每天都要用到它。二十世纪八十年代中国的钟表业经历了一场翻天覆地的大转折。其表现在三个方面: 1)从生产机械表转为石英电子表; 2)曾占据中国消费市场四十多年的大型国有企业突然被刚刚冒起的“组业”

AT89C51单片机电子时钟设计资料

AT89C51单片机电子时钟设计 学院: 专业: 学号: 学生:

目录 1 电子时钟 (4) 1.1 电子时钟简介 (4) 1.2 电子时钟的基本特点 (4) 1.3 电子时钟的原理 (4) 2 单片机识的相关知识 (4) 2.1单片机简介 (4) 2.2 单片机的特点 (5) 2.3 AT89C51单片机介绍 (5) 3 设计方案的选择 (7) 3.1计时方案 (7) 3.2 显示方案 (7) 3.3 数码管显示工作原理 (8) 3.4 键盘电路设计 (9) 3.5 主控模块AT89C51 (9) 4 系统软件设计 (9) 附录 (12)

摘要:单片机自20世纪70年代问世以来,以其极高的性能价格比,受到人们的重视和关注。单片机体积小、重量轻、抗干扰能力强、环境要求不高、价格低廉、可靠性高、灵活性好、开发较为容易。由于具有上述优点,单片机已广泛地应用在工业自动化控制、自动检测、智能仪器仪表、家用电器、电力电子、机电一体化设备等各个方面,而51单片机是各单片机中最为典型和最有代表性的一种。这次设计通过对它的学习、应用,以AT89C51芯片为核心,辅以必要的电路,设计了一个简易的电子时钟,它由4.5V直流电源供电,通过数码管能够准确显示时间,调整时间,从而到达学习、设计、开发软、硬件的能力。 关键词:单片机;电子时钟;AT89C51

1 电子时钟 1.1 电子时钟简介 本设计采用AT89C51单片机,以汇编语言为程序设计的基础,设计一个用六位数码管显示时、分、秒的时钟。现代的电子时钟是基于单片机的一种计时工具,采用延时程序产生一定的时间中断,用于一秒的定义,通过计数方式进行满六十秒分钟进一,满六十分小时进一,满二十四小时小时清零,从而达到计时的功能,是人民日常生活不可缺少的工具。 1.2 电子时钟的基本特点 现在高精度的计时工具大多数都使用了石英晶体振荡器,由于电子钟、石英钟、石英表都采用了石英技术,因此走时精度高,稳定性好,使用方便,不需要经常调试,数字式电子钟用集成电路计时时,译码代替机械式传动,用LED显示器代替指针显示进而显示时间,减小了计时误差,这种表具有时、分、秒显示时间的功能,还可以进行时和分的校对,片选的灵活性好。 1.3 电子时钟的原理 该电子时钟由AT89C51,键盘,八段数码管等构成,采用晶振电路作为驱动电路,由延时程序和循环程序产生的一秒定时,达到时分秒的计时,六十秒为一分钟,六十分钟为一小时,满二十四小时为一天。而电路中唯一的一个控制键却拥有多种不同的功能,按下又松开,可以实现屏蔽数码管显示的功能,达到省电的目的;直接按下不松开,则可以通过按键实现分钟的累加,每按一次分钟加一;而连续两次按下按键不放松,则可实现小时的调节,同样每按一次小时加一。 2 单片机识的相关知识 2.1单片机简介 单片机是指一个集成在一块芯片上的完整计算机系统。尽管他的大部分功能集成在一块小芯片上,但是它具有一个完整计算机所需要的大部分部件:CPU、内存、内部和外部总线系统,目前大部分还会具有外存。同时集成诸如通讯接口、定时器,实时时钟等外围设备。而现在最强大的单片机系统甚至可以将声音、图像、网络、复杂的输入输出系统集成在一块芯片上。

单片机时钟程序

单片机时钟程序 #include //包含头文件,一般情况不需要改动,头文件包含特殊功能寄存器的定义 sbit KEY_ADD=P3^3; //定义按键输入端口 sbit KEY_DEC=P3^4; #define DataPort P0 //定义数据端口程序中遇到DataPort 则用P0 替换 sbit LATCH1=P2^2;//定义锁存使能端口段锁存 sbit LATCH2=P2^3;// 位锁存 unsigned char code dofly_DuanMa[10]={0x3f,0x06,0x5b,0x4f,0x66,0x6d,0x7d,0x07,0x7f,0x6f};// 显示段码值0~9 unsigned char code dofly_WeiMa[]={0xfe,0xfd,0xfb,0xf7,0xef,0xdf,0xbf,0x7f};//分别对应相应的数码管点亮,即位码 unsigned char TempData[8]; //存储显示值的全局变量 unsigned char h,m,s; void DelayUs2x(unsigned char t);//函数声明 void DelayMs(unsigned char t); void Display(unsigned char FirstBit,unsigned char Num); void Init_Timer0(void); /*------------------------------------------------ 主函数 ------------------------------------------------*/

多功能时钟方案报告(免费)

多 功 能 数 字 钟 设 计 报 告 中国计量学院 2018年5月3日 目录 摘要

1.设计任务 1)基本要求 2)发挥部分 2.方案论证与比较 1)显示部分 2)数字时钟 3)温度采集 4)闹铃部分 5)电源模块 3.总体方案 1)工作原理 2)总体设计 4.系统硬件设计 1)STC89C52RC单片机最小系统 2)测温模块 3)时钟模块 4)存储器模块 5) LCD显示模块 6)电源模块 5.单片机程序部分 1)程序编写 6.测试与结果分析 1)基本部分测试与分析 2)发挥部分测试与分析 3)创新部分测试与分析 7.设计总结 摘要本设计采用LCD液晶屏幕显示系统,以STC89C52RC单片机为核心,由键盘、温度采集、定时闹铃、日期提醒等功能模块组成。基于题目基本要求,本系统对时间显示、闹铃方式进和温度采集系统行了重点设计。此外,扩展了整点报时、非易失闹铃信息存储、国内外重要节日提醒等功能。本系统大部分功能由软件来实现,吸收了硬件软件化的思想,大部分功能通过软件来实现,使电路简单明了,系统稳定性大大提高。本系统不仅成功的实现了要求的基本功能,多数发挥部分也得到了实现,而且还具有一定的创新功能。

关键字:STC89C52RC单片机、LCD液晶显示、双电源供电、温度采集、非易失定时闹铃、生日提醒、重要节日提醒、整点报时 1、任务设计 1)基本要求 <1)具有时间设置<小时和分钟)、闹钟时间设置、闹钟开、闹钟关功能。 <2)数字显示小时、分钟,有AM、PM指示器,闹钟就绪灯,蜂鸣器。 <3)利用键盘或其它方式切换,数字显示年、月、日、周次。 <4)利用键盘或其它方式切换,数字显示当前环境温度<0~60℃0.2℃)。 <5)利用手势或其它任意方式非接触停止闹钟。 2)发挥部分 <1)220VAC供电,具有测量、显示电网频率、电压有效值功能。 <2)产生0-100k方波,频率10Hz步进可调,峰峰值〉20V<100欧姆负载),频率可以键盘控制。 <3)断电后,可保存电压、频率测量值,断电时间,闹钟设置值等参数,可自动保存20次,系统来电后,无需手动设置,即可恢复正常工作。 <4)遥控设置闹钟、时间等参数。 2、方案论证 1)显示部分: 显示部分是本次设计的重要部分,一般有以下两种方案: 方案一:采用LED显示,分静态显示和动态显示。对于静态显示方式,所需的译码驱动装置很多,引线多而复杂,且可靠性也较低。而对于动态显示方式,虽可以避免静态显示的问题,但设计上如果处理不当,易造成亮度低,有闪烁等问题。 方案二:采用LCD显示。LCD液晶显示具有丰富多样性、灵活性、电路简单、易于控制而且功耗小等优点,对于信息量多的系统,是比较适合的。 鉴于上述原因,我们采用方案二。 2)数字时钟 数字时钟是本设计的核心的部分。根据需要可采用以下两种方案实现: 方案一:方案完全用软件实现数字时钟。原理为:在单片机内部存储器设三个字节分别存放时钟的时、分、秒信息。利用定时器与软件结合实现1秒定时中断,每产生一次中断,存储器内相应的秒值加1;若秒值达到60,则将其清零,并将相应的分字节值加1;若分值达到60,则清零分字节,并将时字节值加1;若时值达到24,则将时字节清零。该方案具有硬件电路简单的特点,但当单片机不上电,程序将不执行。而且由于每次执行程序时,定时器都要重新赋初值,所以该时钟精度不高。 方案二:方案采用Dallas公司的专用时钟芯片DS1302。该芯片内部采用石英晶体振荡器,其芯片精度不大于10ms/年,且具有完备的时钟闹钟功能,因此,可直接对其以用于显示或设置,使得软件编程相对简单。为保证时钟在电网电压不足或突然掉电等突发情况下仍能正常工作,芯片内部包含锂电池。当电网电压不足或突然掉电时,可使系统自动转换到内部锂电池供电系统。而且即使系统不上电,程序不执行时,锂电池也能保证芯片的正常运行,以备随时提供正确的时间。 基于时钟芯片的上述优点,本设计采用方案二完成数字时钟的功能。 3)温度采集 由于现在用品追求多样化,多功能化,给系统加上温度测量显示模块,能够方便人们的生活,使该设计具有人性化。 方案一:采用热敏电阻,可满足40摄氏度至90摄氏度测量范围,但热敏电阻精度、重复

基于单片机电子时钟的设计

单片机课程设计 姓名:刘韶辉 学号:32 班级:自动化11402 成绩: 指导老师:吴玉蓉 设计时间:2016年12月26日~2017年1月5日目录

STC89C51是公司生产的一种低功耗、高性能CMOS8位微控制器,具有 4K 在系统可编程Flash存储器。STC89C51使用经典的MCS-51内核,但做了很多的改进使得芯片具有传统不具备的功能。在单芯片上,拥有灵巧的8 位和在系统可编程Flash,使得STC89C51为众多嵌入式控制应用系统提供高灵活、超有效的。支持2种软件可选择节电模式。空闲模式下,CPU 停止工作,允许RAM、定时器/计数器、串口、中断继续工作。掉电保护方式下,RAM内容被保存,振荡器被 (5) 图5 单片机系统冻结,单片机一切工作停止,直到下一个中断或硬件复位为止。最高运作频率35MHz,6T/12T可选。 (5) 将所有数码管的8个显示段码"a,b,c,d,e,f,g,dp"的同名端连在一起,另外为每个数码管的公共极COM增加位选通控制电路,位选通由各自独立的I/O线控制,当单片机输出字形码时,所有数码管都接收到相同的字形码,但究竟是那个数码管会显示出字形,取决于单片机对位选通COM端电路的控制,所以我们只要将需要显示的数码管的选通控制打开,该位就显示出字形,没有选通的数码管就不会亮。通过分时轮流控制各个数码管的COM端,就使各个数码管轮流受控显示,电路如下图: (5) 图6 数码管显示电路 (6) 一、设计要求 利用51单片机开发电子时钟,实现时间显示、调整功能。具体要求如下:(1)按以上要求制定设计方案,并绘制出系统工作框图; (2)按要求设计部分外围电路,并与单片机仿真器、单片机实验箱、电源等正确可靠的连接,给出电路原理图; (3)用仿真器及单片机实验箱进行程序设计与调试; (4)利用键盘输入调整秒、分和小时时刻,数码管显示时间; 二、系统总体方案

电子综合设计-基于单片机多功能数字时钟的设计(附完整程序)

课题:基于51单片机的多功能数字时钟系统设计 一、概述、设计思路 该设计方案是以MC51单片机为核心,采用LCD液晶屏幕显示系统,辅以闹钟模块,温度采集模块、日期提醒、键盘时间调整预设置等模块,所构建的数字时钟系统,能动态显示实时时钟的时、分、秒,数据显示(误差限制在30每天),对闹铃方式与温度调节模块进行了重点设计实现SB0、SB1、SB2、SB3四个键实现时钟正常显示,调时,及闹钟时间设置。本系统设计大部分功能有软件来实现,使电路简单明了,系统稳定性也得大大提高。 二、系统组成与工作原理 1、工作原理: 本设计采用STC89C51单片机作为本次课程设计的控制模块。单片机可把由DS18B20、DS1302、AT24C02中的数据利用软件来进行处理,从而把数据传输到显示模块,实现温度、日历和闹铃的显示。以LCD液晶显示器为显示模块,把单片机传来的的数据显示出来,并且显示多样化,在显示电路中,主要靠按键来实现各种显示要求的选择与切换。 2、总是设计框架图:

图二:系统总体电路图 三、单元电路的设计与分析 整个电子时钟系统电路可分为六大部分:中央处理单元(CPU)、复位电路部分、显示部分、键盘输入部分、温度采集部分。 1、MCS-51单片机 VCC: 89S51 电源正端输入,接+5V。 VSS: 电源地端。

XTAL1: 单芯片系统时钟的反相放大器输入端。 XTAL2: 系统时钟的反相放大器输出端,一般在设计上只要在XTAL1 和XTAL2 上接上一只石英振荡晶体系统就可以动作了,此外可以在两引脚与地之间加入一20PF 的小电容,可以使系统更稳定,避免噪声干扰而死机。 RESET: 89S51的重置引脚,高电平动作,当要对晶片重置时,只要对此引脚电平提升至高电平并保持两个机器周期以上的时间,AT89S51便能完成系统重置的各项动作,使得内部特殊功能寄存器之内容均被设成已知状态,并且至地址0000H处开始读入程序代码而执行程序。 EA/Vpp: "EA"为英文"External Access"的缩写,表示存取外部程序代码之意,低电平动作,也就是说当此引脚接低电平后,系统会取用外部的程序代码(存于外部EPROM中)来执行程序。因此在8031及8032中,EA引脚必须接低电平,因为其内部无程序存储器空间。如果是使用8751 内部程序空间时,此引脚要接成高电平。此外,在将程序代码烧录至8751内部EPROM时,可以利用此引脚来输入21V的烧录高压(Vpp)。 ALE/PROG: 端口3的管脚设置: P3.0:RXD,串行通信输入。 P3.1:TXD,串行通信输出。 P3.2:INT0,外部中断0输入。

单片机实现时钟的显示

单片机原理及系统课程设计 专业:电气工程及其自动化 班级:电气091 姓名: 学号: 指导教师: 2012 年 7月1日

1 引言 基于单片机课程的学习,在本次课程设计中利用单片机实现时钟的显示,利用键盘和门电路控制时间的调整,在设计上采用硬件计数与软件计数相结合的方式,并且定时器T0采用了中断方式。 2 系统方案及原理 本次课程设计是用80C51单片机CPU及接口电路设计一个数字时钟,其核心部件是80C51,时钟的显示采用6个共阴极LED显示灯,四个开关方便的对秒、分、时分别调整,保证功能完善,工作可靠。硬件方面:独立式键盘可以消除时间误差的和方便时间的调整;显示电路采用LED动态显示方式,共阴极端由P2口线控制,分为段选线和位选线,利用人的视觉停留达到显示的效果,段选线控制字符选择,位选线控制显示位的亮或暗,从而简化串行口线的连接。软件方面:四个键盘输入与门74LS21,其输出用作中断信号,当四个键盘有一个键按下后就会向CPU申请中断,这样就可以提高CPU 工作效率,达到调整时间的快速性与准确性;定时采用片内定时器定时,提高了电子时钟的准确度。显示电路可以采用点阵液晶显示模块(LED)。一上电,数码管显示的起始时间为0时0分0秒,也就是数码管显示000000,然后每过一秒,秒位加一,到9后10秒位加一,秒位回0.10秒位显示5,秒位显示9后一秒,分钟加一,秒位回0。以此类推,时钟显示的最大值位23小时59分钟59秒。 3 硬件设计 3.1 硬件原理图 根据要求,设计一个时钟。能够显示时间格式为时.分.秒,并且设置键盘,根据按键任意调整时间。利用8051内部定时器0与软件结合来实现1秒定时中断,每产生一次中断,存储器内相应的秒值加1;若秒值达到60,则将其清零,并将相应的分字节值加1;若分值达到60,则清零分字节,并将时字节值加1;若时值达到24,则将时字节清零。显示部分用共阴极的LED显示等显示。硬件原理图如图一所示。四个开关方便的对秒、分、时分别调整,保证功能完善,工作可靠,定时采用片内定时器定时,提高了电子时钟的准确度。根据题目要求设计的总体框图,如图1所示。

多功能时钟设计

可编程逻辑器件及其应用 设计报告 姓名:王克勤 院系:******* 学号:******* 日期:2012-04-09

多功能数字钟设计 一、设计内容及要求 用Verilog HDL设计一个多功能数字钟 基本功能描述: 1.时钟功能:包括时、分、秒的显示; 2.定时与闹钟功能:能在设定的时间发出闹铃音; 3.校时功能:能非常方便地对小时、分钟和秒进行手动调整以校准时间; 4.整点报时功能:每逢整点,产生“嘀嘀嘀嘀--嘟”,四短一长的报时音。 二、仿真环境说明 用Verilog在Altera公司的Quartus2软件环境下编写RTL代码,并进行综合,行为仿真。时序仿真采用Mentor公司旗下的ModelsimSE-6.1f软件。Modelsim 软件是业界最著名的波形仿真软件,仿真效果比Quartus2下编写波形文件仿真效果要好很多,而且仿真相当简单,只不过要编写测试向量(testbench),有点麻烦。 三、系统框图与说明 Figure1系统框图 1.数字钟电路系统由主体电路和扩展电路两大部分所组成。 2. 秒计数器计到59后,下一秒到来时,秒计时器满60,接着清零后向分计数器进位;同样分计数器计满60后向小时计数器进位;小时计数器按照“24进制”

规律计数,每当小时计到23小时时,当分计数和秒计数分别59,59时,即到23小时59分,59秒时候,时分秒全部清零,从新开始计数。 3. 计数器的输出经译码器送显示器,显示器用6个数码管表示,每两个数码管分别表示小时,分钟,秒钟。每个数码管用BCD码表示。 四、设计步骤 (一)列写多功能数字钟设计结构图 (二)在QuartusП软件下编写Verilog代码 (三)在QuartusП环境下进行综合 Figure2综合结果 从Fig.2可以看出,该设计采用Altera公司CycloneП系列下的EP2C35F672C8芯片。从图中可以看出,采用了162个逻辑单元,其中组合逻辑147个,总共的寄存器数为102个。

基于C51单片机的多功能电子时钟设计完美实现版

单片机课程设计报告——电子时钟作业名: 指导老师: 戴胜华 学生姓名: lycaner 班级: 北京交通大学电子信息工程学院自动化 学号: XXXXXXXX 电子时钟实验报告

一,实验目的 1. 学习8051定时器时间计时处理、按键扫描及LED数码管显示的设计方法。 2. 设计任务及要求利用实验平台上4个LED数码管,设计带有闹铃功能的数字时钟 二,实验要求 A.基本要求: 1. 在4位数码管上显示当前时间。显示格式“时时分分” 2. 由LED闪动做秒显示。 3. 利用按键可对时间及闹玲进行设置,并可显示闹玲时间。当闹玲时间到蜂鸣器发出声响,按停止键使可使闹玲声停止。 4.实现秒表功能(百分之一秒显示) B.扩展部分: 1.日历功能(能对年,月,日,星期进行显示,分辨平年,闰年以及各月天数,并调整) 2.音乐闹铃(铃音可选择,闹铃被停止后,闪烁显示当前时刻8秒后,或按键跳入正常时间显示状态) 3.定时功能(设定一段时间长度,定时到后,闪烁提示) 4.倒计时功能(设定一段时间长度,能实现倒计时显示,时间长减到0时,闪烁提示) 5.闹铃重响功能(闹铃被停止后,以停止时刻开始,一段时间后闹铃重响,且重响时间的间隔可调) 三,实验基本原理 利用单片机定时器完成计时功能,定时器0计时中断程序每隔0.01s中断一次并当作一个计数,设定定时1秒的中断计数初值为100,每中断一次中断计数初值减1,当减到0时,则表示1s 到了,秒变量加1,同理再判断是否1min钟到了,再判断是否1h到了。 为了将时间在LED数码管上显示,可采用静态显示法和动态显示法,由于静态显示法需要译码器,数据锁存器等较多硬件,可采用动态显示法实现LED显示,通过对每位数码管的依次扫描,使对应数码管亮,同时向该数码管送对应的字码,使其显示数字。由于数码管扫描周期很短,由于人眼的视觉暂留效应,使数码管看起来总是亮的,从而实现了各种显示。 四,实验设计分析 针对要实现的功能,采用AT89S51单片机进行设计,AT89S51 单片机是一款低功耗,高性能CMOS8位单片机,片内含4KB在线可编程(ISP)的可反复擦写1000次的Flash只读程序存储器,器件采用高密度、非易失性存储技术制造,兼容标准MCS- 51指令系统及80C51引脚结构。这样,既能做到经济合理又能实现预期的功能。. 在程序方面,采用分块设计的方法,这样既减小了编程难度、使程序易于理解,又能便于添加各

相关文档
相关文档 最新文档