文档库 最新最全的文档下载
当前位置:文档库 › 电子设计自动化简介

电子设计自动化简介

电子设计自动化简介
电子设计自动化简介

电子设计自动化简介

人类社会已进入到高度发达的信息化社会,信息社会的发展离不开电子产品的进步。现 代电子产品在性能提高、复杂度增大的同时,价格却一直呈下降趋势,而且产品更新换代的 步伐也越来越快,实现这种进步的主要原因就是生产制造技术和电子设计技术的发展。前者 以微细加工技术为代表,目前已进展到深亚微米阶段,可以在几平方厘米的芯片上集成数千 万个晶体管;后者的核心就是 EDA 技术。EDA 是指以计算机为工作平台,融合了应用电子 技术、计算机技术、智能化技术最新成果而研制成的电子 CAD 通用软件包,主要能辅助进 行三方面的设计工作:IC 设计,电子电路设计以及 PCB 设计。没有 EDA 技术的支持,想 要完成上述超大规模集成电路的设计制造是不可想象的,反过来,生产制造技术的不断进步 又必将对 EDA技术提出新的要求。

1.EDA 技术的概念及范畴

EDA 技术是在电子 CAD 技术基础上发展起来的计算机软件系统,是指以计算机为工作 平台,融合了应用电子技术、计算机技术、信息处理及智能化技术的最新成果,进行电子产 品的自动设计。

利用 EDA 工具,电子设计师可以从概念、算法、协议等开始设计电子系统,大量工作 可以通过计算机完成,并可以将电子产品从电路设计、性能分析到设计出 IC 版图或 PCB 版 图的整个过程在计算机上自动处理完成。

现在对 EDA 的概念或范畴用得很宽。包括在机械、电子、通信、航空航天、化工、矿 产、生物、医学、军事等各个领域,都有 EDA 的应用。目前 EDA 技术已在各大公司、企 事业单位和科研教学部门广泛使用。例如在飞机制造过程中,从设计、性能测试及特性分析 直到飞行模拟,都可能涉及到 EDA 技术。本站所介绍的 EDA 技术,主要针对电子电路设 计、PCB 设计和电子仿真。EDA设计可分为系统级、电路级和物理实现级。

2.EDA 技术的发展

回顾近 30 年电子设计技术的发展历程,可将 EDA技术分为三个阶段。

七十年代为 CAD 阶段,这一阶段人们开始用计算机辅助进行 IC 版图编辑和 PCB 布局 布线,取代了手工操作,产生了计算机辅助设计的概念。

八十年代为 CAE 阶段,与 CAD 相比,除了纯粹的图形绘制功能外,又增加了电路功 能设计和结构设计,并且通过电气连接网络表将两者结合在一起,以实现工程设计,这就是 计算机辅助工程的概念。CAE 的主要功能是:原理图输入,逻辑仿真,电路分析,自动布 局布线,PCB 后分析。

九十年代为ESDA阶段。尽管 CAD/CAE 技术取得了巨大的成功,但并没有把人从繁重 的设计工作中彻底解放出来。在整个设计过程中,自动化和智能化程度还不高,各种 EDA

软件界面千差万别,学习使用困难,并且互不兼容,直接影响到设计环节间的衔接。基于以 上不足,人们开始追求贯彻整个设计过程的自动化,这就是 ESDA 即电子系统设计自动 化。

3.ESDA 技术的基本特征

ESDA 代表了当今电子设计技术的最新发展方向,它的基本特征是:设计人员按照“自 顶向下”的设计方法,对整个系统进行方案设计和功能划分,系统的关键电路用一片或几片 专用集成电路(ASIC)实现,然后采用硬件描述语言(HDL)完成系统行为级设计,最后 通过综合器和适配器生成最终的目标器件。这样的设计方法被称为高层次的电子设计方法。 下面介绍与ESDA基本特征有关的几个概念。

(1) “自顶向下”的设计方法

10 年前,电子设计的基本思路还是选择标准集成电路"自底向上"(Bottom-Up)地构造 出一个新的系统,这样的设计方法就如同一砖一瓦地建造金字塔,不仅效率低、成本高而且 还容易出错。

高层次设计给我们提供了一种"自顶向下"(Top-Down)的全新的设计方法,这种设计 方法首先从系统设计入手,在顶层进行功能方框图的划分和结构设计。在方框图一级进行仿 真、纠错,并用硬件描述语言对高层次的系统行为进行描述,在系统一级进行验证。然后用 综合优化工具生成具体门电路的网表,其对应的物理实现级可以是印刷电路板或专用集成电 路。由于设计的主要仿真和调试过程是在高层次上完成的,这不仅有利于早期发现结构设计 上的错误,避免设计工作的浪费,而且也减少了逻辑功能仿真的工作量,提高了设计的一次 成功率。

(2) ASIC 设计

现代电子产品的复杂度日益加深,一个电子系统可能由数万个中小规模集成电路构成, 这就带来了体积大、功耗大、可靠性差的问题,解决这一问题的有效方法就是采用 ASIC (Application Specific Integrated Circuits)芯片进行设计。ASIC 按照设计方法的不同可分 为:全定制 ASIC,半定制 ASIC,可编程 ASIC(也称为可编程逻辑器件)。

设计全定制 ASIC 芯片时,设计师要定义芯片上所有晶体管的几何图形和工艺规则,最 后将设计结果交由 IC 厂家掩膜制造完成。优点是:芯片可以获得最优的性能,即面积利用 率高、速度快、功耗低。缺点是:开发周期长,费用高,只适合大批量产品开发。

半定制 ASIC 芯片的版图设计方法有所不同,分为门阵列设计法和标准单元设计法,这 两种方法都是约束性的设计方法,其主要目的就是简化设计,以牺牲芯片性能为代价来缩短 开发时间。

可编程逻辑芯片与上述掩膜 ASIC 的不同之处在于:设计人员完成版图设计后,在实验 室内就可以烧制出自己的芯片,无须 IC 厂家的参与,大大缩短了开发周期。

可编程逻辑器件自七十年代以来,经历了 PAL、GAL、CPLD、FPGA 几个发展阶段, 其中 CPLD/FPGA 属高密度可编程逻辑器件,目前集成度已高达 200 万门/片,它将掩膜 ASIC 集成度高的优点和可编程逻辑器件设计生产方便的特点结合在一起,特别适合于样品 研制或小批量产品开发,使产品能以最快的速度上市,而当市场扩大时,它可以很容易的转 由掩膜 ASIC 实现,因此开发风险也大为降低。

210

上述 ASIC 芯片,尤其是 CPLD/FPGA 器件,已成为现代高层次电子设计方法的实现载 体。

(3) 硬件描述语言

硬件描述语言(HDL-Hardware Description Language)是一种用于设计硬件电子系统的 计算机语言,它用软件编程的方式来描述电子系统的逻辑功能、电路结构和连接形式,与传 统的门级描述方式相比,它更适合大规模系统的设计。例如一个 32 位的加法器,利用图形 输入软件需要输入 500 至 1000 个门,而利用 VHDL 语言只需要书写一行 A=B+C 即可,而 且 VHDL 语言可读性强,易于修改和发现错误。早期的硬件描述语言,如 ABEL-HDL、 AHDL,是由不同的 EDA 厂商开发的,互相不兼容,而且不支持多层次设计,层次间翻译 工作要由人工完成。为了克服以上缺陷,1985 年美国国防部正式推出了 VHDL(V ery High Speed IC Hardware Description Language)语言,1987 年 IEEE 采纳 VHDL为硬件描述语言 标准(IEEE STD-1076)。

VHDL 是一种全方位的硬件描述语言,包括系统行为级、寄存器传输级和逻辑门级多 个设计层次,支持结构、数据流、行为三种描述形式的混合描述,因此 VHDL 几乎覆盖了 以往各种硬件描述语言的功能,整个自顶向下或自底向上的电路设计过程都可以用 VHDL 来完成。另外,VHDL 还具有以下优点:VHDL 的宽范围描述能力使它成为高层次设计的 核心,将设计人员的工作重心提高到了系统功能的实现与调试,只需花较少的精力用于物理 实现。VHDL 可以用简洁明确的代码描述来进行复杂控制逻辑的设计,灵活且方便,而且 也便于设计结果的交流、保存和重用。VHDL 的设计不依赖于特定的器件,方便了工艺的 转换。VHDL是一个标准语言,为众多的 EDA厂商支持,因此移植性好。

(4) 系统框架结构

EDA 系统框架结构(Framework)是一套配置和使用 EDA 软件包的规范,目前主要的 EDA 系统都建立了框架结构,如 Cadence 公司的 Design Framework,Mentor 公司的 Falcon Framework等,这些框架结构都遵守国际 CFI组织(CAD Framework Initiative)制定的统一 技术标准。Framework 能将来自不同 EDA 厂商的工具软件进行优化组合,集成在一个易于 管理的统一的环境之下,而且还支持任务之间、设计师之间在整个产品开发过程中实现信息 的传输与共享,这是并行工程和 Top-Down设计方法的实现基础。

4.EDA 技术的基本设计方法

EDA 技术的每一次进步,都引起了设计层次上的一个飞跃。物理级设计主要指 IC 版图 设计,一般由半导体厂家完成,对电子工程师并没有太大的意义,因此本文重点介绍电路级 设计和系统级设计。

(1) 电路级设计

电子工程师接受系统设计任务后,首先确定设计方案,同时要选择能实现该方案的合适 元器件,然后根据具体的元器件设计电路原理图。接着进行第一次仿真,包括数字电路的逻 辑模拟、故障分析、模拟电路的交直流分析、瞬态分析。系统在进行仿真时,必须要有元件 模型库的支持,计算机上模拟的输入输出波形代替了实际电路调试中的信号源和示波器。这 一次仿真主要是检验设计方案在功能方面的正确性。

仿真通过后,根据原理图产生的电气连接网络表进行 PCB 板的自动布局布线。在制作

211

PCB 板之前还可以进行后分析,包括热分析、噪声及窜扰分析、电磁兼容分析、可靠性分 析等,并且可以将分析后的结果参数反标回电路图,进行第二次仿真,也称为后仿真,这一 次仿真主要是检验 PCB 板在实际工作环境中的可行性。

由此可见,电路级的 EDA 技术使电子工程师在实际的电子系统产生之前,就可以全面 地了解系统的功能特性和物理特性,从而将开发过程中出现的缺陷消灭在设计阶段,不仅缩 短了开发时间,也降低了开发成本。

(2) 系统级设计

进入 90 年代以来,电子信息类产品的开发出现了两个明显的特点:一是产品的复杂程 度加深,二是产品的上市时限紧迫。然而电路级设计本质上是基于门级描述的单层次设计, 设计的所有工作(包括设计输入,仿真和分析,设计修改等)都是在基本逻辑门这一层次上 进行的,显然这种设计方法不能适应新的形势,为此引入了一种高层次的电子设计方法,也 称为系统级的设计方法。

高层次设计是一种“概念驱动式”设计,设计人员无须通过门级原理图描述电路,而是针 对设计目标进行功能描述,由于摆脱了电路细节的束缚,设计人员可以把精力集中于创造性 的概念构思与方案上,一旦这些概念构思以高层次描述的形式输入计算机后,EDA 系统就 能以规则驱动的方式自动完成整个设计。这样,新的概念得以迅速有效的成为产品,大大缩 短了产品的研制周期。不仅如此,高层次设计只是定义系统的行为特性,可以不涉及实现工 艺,在厂家综合库的支持下,利用综合优化工具可以将高层次描述转换成针对某种工艺优化 的网表,工艺转化变得轻松容易。

高层次设计步骤如下:

第一步:按照"自顶向下"的设计方法进行系统划分。

第二步:输入 VHDL 代码,这是高层次设计中最为普遍的输入方式。此外,还可以采 用图形输入方式(框图,状态图等),这种输入方式具有直观、容易理解的优点。

第三步:将以上的设计输入编译成标准的 VHDL 文件。对于大型设计,还要进行代码 级的功能仿真,主要是检验系统功能设计的正确性,因为对于大型设计,综合、适配要花费 数小时,在综合前对源代码仿真,就可以大大减少设计重复的次数和时间,一般情况下,可 略去这一仿真步骤。

第四步:利用综合器对 VHDL 源代码进行综合优化处理,生成门级描述的网表文件, 这是将高层次描述转化为硬件电路的关键步骤。

综合优化是针对 ASIC 芯片供应商的某一产品系列进行的,所以综合的过程要在相应的 厂家综合库支持下才能完成。综合后,可利用产生的网表文件进行适配前的时序仿真,仿真 过程不涉及具体器件的硬件特性,较为粗略。一般设计,这一仿真步骤也可略去。

第五步:利用适配器将综合后的网表文件针对某一具体的目标器件进行逻辑映射操作, 包括底层器件配置、逻辑分割、逻辑优化和布局布线。适配完成后,产生多项设计结果:① 适配报告,包括芯片内部资源利用情况,设计的布尔方程描述情况等;②适配后的仿真模 型;③器件编程文件。根据适配后的仿真模型,可以进行适配后的时序仿真,因为已经得到 器件的实际硬件特性(如时延特性),所以仿真结果能比较精确地预期未来芯片的实际性 能。如果仿真结果达不到设计要求,就需要修改 VHDL 源代码或选择不同速度品质的器 件,直至满足设计要求。

212

第六步:将适配器产生的器件编程文件通过编程器或下载电缆载入到目标芯片 FPGA 或 CPLD 中。如果是大批量产品开发,通过更换相应的厂家综合库,可以很容易转由 ASIC 形式实现。

5.EDA 常用软件

EDA 工具层出不穷,目前进入我国并具有广泛影响的 EDA 软件有:EWB、PSPICE、 OrCAD、PCAD、Protel、Viewlogic、Mentor、Graphics、Synopsys、LSIlogic、Cadence、 MicroSim 等等。这些工具都有较强的功能,一般可用于几个方面,例如很多软件都可以进 行电路设计与仿真,同时以可以进行 PCB 自动布局布线,可输出多种网表文件与第三方软 件接口。下面按主要功能或主要应用场合,分为电路设计与仿真工具、PCB 设计软件、IC 设计软件、PLD 设计工具及其它 EDA软件,进行简单介绍。

(1) 电子电路设计与仿真工具

电子电路设计与仿真工具包括 SPICE/PSPICE;EWB;Matlab;SystemView;MMICAD 等。下面简单介绍前三个软件。

1. SPICE(Simulation Program with Integrated Circuit Emphasis)是由美国加州大

学推出的电路分析仿真软件,是 20 世纪 80 年代世界上应用最广的电路设计

软件,1998 年被定为美国国家标准。1984 年,美国 MicroSim 公司推出了基

于 SPICE 的微机版 PSPICE(Personal—SPICE)。现在用得较多的是

PSPICE6.2,可以说在同类产品中,它是功能最为强大的模拟和数字电路混合

仿真 EDA 软件,在国内普遍使用。最新推出了 PSPICE9.1 版本。它可以进行

各种各样的电路仿真、激励建立、温度与噪声分析、模拟控制、波形输出、

数据输出、并在同一窗口内同时显示模拟与数字的仿真结果。无论对哪种器

件哪些电路进行仿真,都可以得到精确的仿真结果,并可以自行建立元器件

及元器件库。

2. EWB(Electronic Workbench)软件是 Interactive ImageTechnologies Ltd 在 20

世纪 90 年代初推出的电路仿真软件。目前普遍使用的是 EWB5.2,相对于其

它 EDA 软件,它是较小巧的软件(只有 16M)。但它对模数电路的混合仿真

功能却十分强大,几乎 100%地仿真出真实电路的结果,并且它在桌面上提供

了万用表、示波器、信号发生器、扫频仪、逻辑分析仪、数字信号发生器、

逻辑转换器和电压表、电流表等仪器仪表。它的界面直观,易学易用。它的

很多功能模仿了 SPICE 的设计,但分析功能比 PSPICE 稍少一些。

3. MATLAB 产品族它们的一大特性是有众多的面向具体应用的工具箱和仿真

块,包含了完整的函数集用来对图像信号处理、控制系统设计、神经网络等

特殊应用进行分析和设计。它具有数据采集、报告生成和 MATLAB 语言编程

产生独立 C/C++代码等功能。MATLAB 产品族具有下列功能:数据分析;数

值和符号计算;工程与科学绘图;控制系统设计;数字图像信号处理;财务

工程;建模、仿真、原型开发;应用开发;图形用户界面设计等。MATLAB

产品族被广泛地应用于信号与图像处理、控制系统设计、通讯系统仿真等诸

多领域。开放式的结构使 MATLAB 产品族很容易针对特定的需求进行扩充,

213

从而在不断深化对问题的认识同时,提高自身的竞争力。

(2) PCB 设计软件

PCB(Printed—Circuit Board)设计软件种类很多,如 Protel;OrCAD;Viewlogic; PowerPCB ; Cadence PSD ; MentorGraphices 的 Expedition PCB ; Zuken CadStart ; Winboard/Windraft/Ivex-SPICE;PCB Studio;TANGO 等等。目前在我国用得最多应属 Protel,下面仅对此软件作一介绍。

Protel 是 PROTEL公司在 20 世纪 80 年代末推出的 CAD 工具,是 PCB 设计者的首选软 件。它较早在国内使用,普及率最高,有些高校的电路专业还专门开设 Protel 课程,几乎所 在的电路公司都要用到它。早期的 Protel 主要作为印刷板自动布线工具使用,现在普遍使用 的是 Protel99SE,它是个完整的全方位电路设计系统,包含了电原理图绘制、模拟电路与数 字电路混合信号仿真、多层印刷电路板设计(包含印刷电路板自动布局布线),可编程逻辑 器件设计、图表生成、电路表格生成、支持宏操作等功能,并具有 Client/Server(客户服务 器体系结构,同时还兼容一些其它设计软件的文件格式,如 ORCAD、PSPICE、EXCEL 等。使用多层印制线路板的自动布线,可实现高密度 PCB 的 100%布通率。Protel 软件功能 强大、界面友好、使用方便,但它最具代表性的是电路设计和 PCB 设计。

(3) IC 设计软件

IC 设计工具很多,其中按市场所占份额排行为 Cadence、Mentor Graphics 和 Synopsys。这三家都是 ASIC 设计领域相当有名的软件供应商。其它公司的软件相对来说使 用者较少。中国华大公司也提供 ASIC 设计软件(熊猫 2000);另外近来出名的 Avanti 公 司,是原来在 Cadence 的几个华人工程师创立的,他们的设计工具可以全面和 Cadence 公司 的工具相抗衡,非常适用于深亚微米的 IC 设计。下出按用途对 IC 设计软件作一些介绍。

1. 设计输入工具这是任何一种 EDA 软件必须具备的基本功能。像 Cadence 的

composer,viewlogic的 viewdraw,硬件描述语言 VHDL、Verilog HDL是主要

设计语言,许多设计输入工具都支持 HDL。另外像 Active—HDL 和其它的设

计输入方法,包括原理和状态机输入方法,设计 FPGA/CPLD 的工具大都可作

为 IC 设计的输入手段,如 Xilinx、Altera 等公司提供的开发工具,Modelsim

FPGA等。

2. 设计仿真工作我们使用 EDA 工具的一个最大好处是可以验证设计是否正确,

几乎每个公司的 EDA 产品都有仿真工具。Verilog—XL、NC—verilog 用于

Verilog 仿真,Leapfrog 用于 VHDL 仿真,Analog Artist 用于模拟电路仿真。

Viewlogic 的仿真器有:viewsim 门级电路仿真器,speedwaveVHDL 仿真器,

VCS—verilog 仿真器。MentorGraphics 有其子公司 Model Tech 出品的 VHDL

和 Verilog双仿真器:Model Sim。Cadence、Synopsys 用的是 VSS(VHDL仿

真器)。现在的趋势是各大 EDA 公司都逐渐用 HDL 仿真器作为电路验证的

工具。

3. 综合工具综合工具可以把 HDL 变成门级网表。这方面 Synopsys 工具占有较大

的优势,它的 Design Compile 是作综合的工业标准,它还有另外一个产品叫

Behavior Compiler,可以提供更高级的综合。另外最近美国又出了一家软件叫

Ambit,说是比 Synopsys 的软件更有效,可以综合 50 万门的电路,速度更

214

快。今年初 Ambit 被 Cadence公司收购,为此 Cadence放弃了它原来的综合软

件 Synergy。随着 FPGA 设计的规模越来越大,各 EDA 公司又开发了用于

FPGA 设计的综合软件,比较有名的有:Synopsys 的 FPGA Express,Cadence

的 Synplity,Mentor 的 Leonardo,这三家的 FPGA 综合软件占了市场的绝大

部分。

4. 布局和布线在 IC 设计的布局布线工具中,Cadence 软件是比较强的,它有很

多产品,用于标准单元、门阵列已可实现交互布线。最有名的是 Cadence

spectra,它原来是用于 PCB 布线的,后来 Cadence 把它用来作 IC 的布线。其

主要工具有:Cell3,Silicon Ensemble—标准单元布线器;Gate Ensemble—门

阵列布线器;Design Planner—布局工具。其它各EDA软件开发公司也提供各

自的布局布线工具。

5. 物理验证工具物理验证工具包括版图设计工具、版图验证工具、版图提取工

具等等。这方面 Cadence 也是很强的,其 Dracula、Virtuso、Vampire 等物理

工具有很多的使用者。

6. 模拟电路仿真器前面讲的仿真器主要是针对数字电路的,对于模拟电路的仿

真工具,普遍使用 SPICE,这是唯一的选择。只不过是选择不同公司的

SPICE,像 MiceoSim的 PSPICE、Meta Soft 的 HSPICE 等等。HSPICE 现在被

Avanti 公司收购了。在众多的 SPICE 中,最好最准的当数 HSPICE,作为 IC

设计,它的模型最多,仿真的精度也最高。

(4) PLD 设计工具

PLD(Programmable Logic Device)是一种由用户根据需要而自行构造逻辑功能的数字 集成电路。目前主要有两大类型:CPLD(Complex PLD)和 FPGA(Field Programmable Gate Array)。它们的基本设计方法是借助于 EDA 软件,用原理图、状态机、布尔表达 式、硬件描述语言等方法,生成相应的目标文件,最后用编程器或下载电缆,由目标器件实 现。生产 PLD 的厂家很多,但最有代表性的 PLD 厂家为 Altera、Xilinx 和 Lattice公司。

PLD 的开发工具一般由器件生产厂家提供,但随着器件规模的不断增加,软件的复杂 性也随之提高,目前由专门的软件公司与器件生产厂家合作,推出功能强大的设计软件。下 面介绍主要器件生产厂家和开发工具。

1. ALTERA 20 世纪 90 年代以后发展很快。主要产品有:MAX3000/7000、

FELX6K/10K、APEX20K、ACEX1K、Stratix 等。其开发工具—MAX+PLUS

II是较成功的 PLD 开发平台,最新又推出了 Quartus II开发软件。Altera 公司

提供较多形式的设计输入手段,绑定第三方 VHDL 综合工具,如:综合软件

FPGA Express、Leonard Spectrum,仿真软件 ModelSim。

2. ILINX FPGA 的发明者。产品种类较全,主要有;XC9500/4000、Coolrunner

(XPLA3)、Spartan、Vertex 等系列,其最大的 Vertex—II Pro 器件已达到

800 万门。开发软件为 Foundation 和 ISE。通常来说,在欧洲用 Xilinx 的人

多,在日本和亚太地区用 ALTERA 的人多,在美国则是平分秋色。全球

PLD/FPGA产品 60%以上是由 Altera 和Xilinx 提供的。可以讲 Altera 和 Xilinx

共同决定了 PLD 技术的发展方向。

215

3. Lattice—Vantis Lattice 是 ISP(In—System Programmability)技术的发明者,

ISP 技术极大地促进了 PLD 产品的发展,与 ALTERA 和 XILINX 相比,其开

发工具比 Altera 和 Xilinx 略逊一筹。中小规模 PLD 比较有特色,大规模 PLD

的竞争力还不够强(Lattice 没有基于查找表技术的大规模 FPGA),1999 年

推出可编程模拟器件,1999 年收购 Vantis(原 AMD 子公司),成为第三大可

编程逻辑器件供应商。2001 年 12 月收购 Agere 公司(原 Lucent 微电子部)

的 FPGA部门。主要产品有 ispLSI2000/5000/8000,MACH4/5。

4. ACTEL 反熔丝(一次性烧写)PLD 的领导得,由于反熔丝 PLD 抗辐射、耐

高低温、功耗低、速度快,所以在军品和宇航级上有较大优势。ALTERA 和

XILINX 则一般不涉足军品和宇航级市场。

5. Quicklogic专业 PLD/FPGA公司,以一次性反熔丝工艺为主,在中国地区销售

量不大。

6. Lucent 主要特点是有不少用于通讯领域的专用 IP 核,但 PLD/FPGA 不是

Lucent 的主要业务,在中国地区使用的人很少。

7. ATMEL 中小规模 PLD 做得不错。ATMEL 也做了一些与 Altera 和 Xilinx 兼

容的片子,但在品质上与原厂家还是有一些差距,在高可靠性产品中使用较

少,多用在低端产品上。

8. Clear Logic 生产与一些著名 PLD/FPGA 大公司兼容的芯片,这种芯片可将用

户的设计一次性固化,不可编程,批量生产时的成本较低。

9. WSI 生产 PSD(单片机可编程外围芯片)产品。这是一种特殊的 PLD,如最

新的 PSD8xx、PSD9xx 集成了 PLD、EPROM、Flash,并支持 ISP(在线编

程),集成度高,主要用于配合单片机工作。PLD(可编程逻辑器件)是一种

可以完全替代 74 系列及GAL、PLA的新型电路,只要有数字电路基础,会使

用计算机,就可以进行 PLD 的开发。PLD 的在线编程能力和强大的开发软

件,使工程师可以在几天,甚至几分钟内就可完成以往几周才能完成的工作

并可将数百万门的复杂设计集成在一颗芯片内。PLD 技术在发达国家已成为

电子工程师必备的技术。

(5) 其它 EDA软件

1. VHDL 语言超高速集成电路硬件描述语言(VHSIC Hardware Deseription

Languagt,简称 VHDL),是 IEEE 的一项标准设计语言。它源于美国国防部

提出的超高速集成电路(Very High Speed Integrated Circuit,简称 VHSIC)计

划,是 ASIC 设计和 PLD 设计的一种主要输入工具。

2. Veriolg HDL 是 Verilog 公司推出的硬件描述语言,在 ASIC 设计方面与

VHDL语言平分秋色。

3. 其它 EDA 软件如专门用于微波电路设计和电力载波工具、PCB 制作和工艺流

程控制等领域的工具,在此就不作介绍了。

6.EDA 的应用

EDA在教学、科研、产品设计与制造等各方面都发挥着巨大的作用。

216

在教学方面,几乎所有理工科(特别是电子信息)类的高校都开设了 EDA 课程。主要 是让学生了解 EDA 的基本概念和基本原理、掌握用 HDL 语言编写规范、掌握逻辑综合的 理论和算法、使用 EDA 工具进行电子电路课程的实验并从事简单系统的设计。一般学习电 路仿真工具(如 EWB、PSPICE)和 PLD 开发工具(如 Altera/Xilinx 的器件结构及开发系 统),为今后工作打下基础。

科研方面主要利用电路仿真工具(EWB 或 PSPICE)进行电路设计与仿真;利用虚拟仪 器进行产品测试;将 CPLD/FPGA 器件实际应用到仪器设备中;从事 PCB 设计和 ASIC 设 计等。

在产品设计与制造方面,包括前期的计算机仿真,产品开发中的 EDA 工具应用、系统 级模拟及测试环境的仿真,生产流水线的 EDA 技术应用、产品测试等各个环节。如 PCB 的 制作、电子设备的研制与生产、电路板的焊接、ASIC 的流片过程等。

从应用领域来看,EDA 技术已经渗透到各行各业,如上文所说,包括在机械、电子、 通信、航空航天、化工、矿产、生物、医学、军事等各个领域,都有 EDA 有应用。另外, EDA 软件的功能日益强大,原来功能比较单一的软件,现在增加了很多新用途如 AutoCAD 软件可用于机械及建筑设计,也扩展到建筑装璜及各类效果图,汽车和飞机的模型、电影特 技等领域。

217

数字电子技术知识点

《数字电子技术》知识点 第1章数字逻辑基础 1.数字信号、模拟信号的定义 2.数字电路的分类 3.数制、编码其及转换 要求:能熟练在10进制、2进制、8进制、16进制、8421BCD之间进行相互转换。 举例1:()10= ( )2= ( )16= ( )8421BCD 解:()10= 2= ( 16= 8421BCD 4.基本逻辑运算的特点 与运算:见零为零,全1为1; 或运算:见1为1,全零为零; 与非运算:见零为1,全1为零; 或非运算:见1为零,全零为1; 异或运算:相异为1,相同为零; 同或运算:相同为1,相异为零; 非运算:零变 1, 1变零; 要求:熟练应用上述逻辑运算。 5.数字电路逻辑功能的几种表示方法及相互转换。 ①真值表(组合逻辑电路)或状态转换真值表(时序逻辑电路):是由变量的所有可能取值组合及其对应的函数值所构成的表格。 ②逻辑表达式:是由逻辑变量和与、或、非3种运算符连接起来所构成的式子。 ③卡诺图:是由表示变量的所有可能取值组合的小方格所构成的图形。 ④逻辑图:是由表示逻辑运算的逻辑符号所构成的图形。 ⑤波形图或时序图:是由输入变量的所有可能取值组合的高、低电平及其对应的输出函数值的高、低电平所构成的图形。 ⑥状态图(只有时序电路才有):描述时序逻辑电路的状态转换关系及转换条件的图形称为状态图。 要求:掌握这五种(对组合逻辑电路)或六种(对时序逻辑电路)方法之间的相互转换。 6.逻辑代数运算的基本规则

①反演规则:对于任何一个逻辑表达式Y ,如果将表达式中的所有“·”换成“+”,“+”换成“·”,“0”换成“1”,“1”换成“0”,原变量换成反变量,反变量换成原变量,那么所得到的表达式就是函数Y 的反函数Y (或称补函数)。这个规则称为反演规则。 ②对偶规则:对于任何一个逻辑表达式Y ,如果将表达式中的所有“·”换成“+”,“+”换成“·”,“0”换成“1”,“1”换成“0”,而变量保持不变,则可得到的一个新的函数表达式Y ',Y '称为函Y 的对偶函数。这个规则称为对偶规则。要求:熟练应用反演规则和对偶规则求逻辑函数的反函数和对偶函数。 举例3:求下列逻辑函数的反函数和对偶函数:E D C B A Y += 解:反函数:))((E D C B A Y +++= 对偶函数:))((E D C B A Y D ++ += 7.逻辑函数化简 (1)最小项的定义及应用; (2)二、三、四变量的卡诺图。 要求:熟练掌握逻辑函数的两种化简方法。 ①公式法化简:逻辑函数的公式化简法就是运用逻辑代数的基本公式、定理和规则来化简逻辑函数。 举例4:用公式化简逻辑函数:C B BC A ABC Y ++=1 解:B C B BC C B BC A A C B BC A ABC Y =+=++=++=)(1 举例5:用公式法化简逻辑函数为最简与或式:BC B C A B C A F +++?= 解:BC B B C A BC B C A B C A BC B C A B C A F ++=++=+++=)( C A BC C A BC C A +=++=+= 举例6:用公式法化简逻辑函数为最简与或式:)(A B A ABC B A F +++= 解:)(A B A ABC B A F +++= )()(A B A ABC B A +?+= =)()(A B A ABC B A ++?+=)()(B A A ABC B A +?+ =A ABC B A ?+)(=0 ②图形化简:逻辑函数的图形化简法是将逻辑函数用卡诺图来表示,利用卡诺图来化简逻辑函数。(主要适合于3个或4个变量的化简) 举例7:用卡诺图化简逻辑函数:)6,4()7,3,2,0(),,(d m C B A Y ∑+∑= 解:画出卡诺图为 则B C Y += 举例8:已知逻辑函数C B A C B A B A Z ++=,约束条件为0=BC 。用卡诺图化简。

电子设计自动化大作业

第 1 页 共 4 页 班级 学号 姓名 命题教师 教研室(系)主任审核(签字) …………………………………………装…………………………………订………………………………线……………………………………… 装订线以内不准作任何标记 2012/2013学年第一学期考试题(卷) 课程名称 电子设计自动化 考试性质 考查 试卷类型 A 使用班级 电子0901~03电信0901~02 考试方法 闭卷 人 数 140 题 号 一 二 三 四 五 六 七 八 九 十 总 成 绩 成 绩 一、查阅相关资料,说出常用的EDA 工具软件分为哪几类?并写出每一类常用的软 件名称及其特点。(15分)。 目前常用的EDA 工具软件:multiSIM7(原EWB 的最新版本)、PSPICE 、OrCAD 、 PCAD 、Protel 、Viewlogic 、Mentor 、Graphics 、Synopsys 、LSIIogic 、Cadence 、MicroSim 等等。一般可用于几个方面,例如很多软件都可以进行电路设计与仿真,同进还可以进行PCB 自动布局布线,可输出多种网表文件与第三方软件接口。①SPICE (Simulation Program with Integrated Circuit Emphasis ):是由美国加州大学推出的电路分析仿真软件,是20世纪80年代世界上应用最广的电路设计软件,1998年被定为美国国家标准。1984年,美国MicroSim 公司推出了基于SPICE 的微机版PSPICE (Personal-SPICE )。现在用得较多的是PSPICE6.2,可以说在同类产品中,它是功能最为强大的模拟和数字电路混合仿真EDA 软件,在国内普遍使用。最新推出了PSPICE9.1版本。它可以进行各种各样的电路仿真、激励建立、温度与噪声分析、模拟控制、波形输出、数据输出、并在同一窗口内同时显示模拟与数字的仿真结果。无论对哪种器件哪些电路进行仿真,都可以得到精确的仿真结果,并可以自行建立元器件及元器件库。②multiSIM (EWB 的最新版本)软件:是Interactive Image Technologies Ltd 在20世纪末推出的电路仿真软件。其最新版本为multiSIM7,目前普遍使用的是multiSIM2001,相对于其它EDA 软件,它具有更加形象直观的人机交互界面,特别是其仪器仪表库中的各仪器仪表与操作真实实验中的实际仪器仪表完全没有两样,但它对模数电路的混合仿真功能却毫不逊色,几乎能够100%地仿真出真实电路的结果,并且它在仪器仪表库中还提供了万用表、信号发生器、瓦特表、双踪示波器(对于multiSIM7还具有四踪示波器)、波特仪(相当实际中的扫频仪)、字信号发生器、逻辑分析仪、逻辑转换仪、失真度分析仪、频谱分析仪、网络分析仪和电压表及电流表等仪器仪表。还提供了我们日常常见的各种建模精确的元器件,比如电阻、电容、电感、三极管、二极管、继电器、可控硅、数码管等等。模拟集成电路方面有各种运算放大器、其他常用集成电路。数字电路方面有74系列集成电路、4000系列集成电路、等等还支持自制元器件。MultiSIM7还具有I-V 分析仪(相当于真实环境中的晶体管特性图示仪)和Agilent 信号发生器、Agilent 万用表、Agilent 示波器和动态逻辑平笔等。同时它还能进行

电子设计自动化技术及其应用简述

龙源期刊网 https://www.wendangku.net/doc/ca18723980.html, 电子设计自动化技术及其应用简述 作者:张彦超 来源:《科技创新与应用》2013年第07期 摘要:随着计算机和电子技术的广泛应用,电子工程的发展已经呈现出超速发展的态势。其中,EDA技术成为了现如今电子工程技术之中的一个重要科学技术。文章主要阐述了EDA 技术的概念、特点、作用以及其设计的领域。 关键词:EDA;自动化;硬件设计 1 电子设计自动化技术的基本含义及现状 电子设计自动化(Electronic Design Automation,EDA)技术是以计算机科学和微电子技 术发展为先导,汇集了计算机图形学、拓扑逻辑学、微电子工艺与结构学和计算数学等多种计算机应用学科最新成果的先进技术,它是在先进的计算机工作平台上开发出的一整套电子系统设计的软件工具。从上个世纪中叶开始,相关的科学技术人员相继研发出种类繁多的计算机辅助设计工具,通过这些工具帮助设计研究人员完成电子系统和集成电路的作业设计,也正是因为这样,EDA技术的发展在集成电路飞速发展的严峻形势下,也不得不完成自身技术的创新 与飞跃,为更大规模庞大的集成电路系统设计与制造提供更多的支持,以此来适应社会生活和生产的需要。 EDA是从20世纪60年代中期的计算机辅助设计(CAD)、计算机辅助制造(CAM)、计算机辅助测试(CAT)和计算机辅助工程(CAE)的概念中中发展过来的,它是电子设计自动化的缩写。EDA技术就是以计算机作为主要工具,在EDA软件的工作平台上,设计者使用硬件描述语言VHDL来进行设计,而后由计算机自动的完成逻辑编译、化简、分割、综合、 优化、布局、布线和仿真,直至对于特定目标芯片的适配编译、逻辑映射和编程下载等工作。这一技术是电子计算机、微电子操作技术、电路知识、信息收集分析和信号处理等多项技术的结晶。 如今对EDA概念的诠释延伸了很多领域,不仅仅局限于某一行业内。在机械、通信、电子、航空航天、矿产、化工、医学、生物、军事等领域内,都会发现有EDA技术的身影。EDA在教学、科研、产品设计与制造等多个方面都能够发挥出其重要的作用。在教学方面, 现在EDA课程几乎都要遍及到所有理工科类的高等院校,是一项热门的科学专业。学校传授的EDA技术,主要是要让学生认识、了解EDA的基本概念和基本的理论,能够掌握运用HDL语言编写简单的规范、了解掌握逻辑综合的理论和算法,能够运用EDA技术平台独立进行电子电路课程的实验验证并从事简单系统的设计。一般学习电路仿真工具(如multiSIM、PSPICE)和PLD开发工具(如Altera/Xilinx的器件结构及开发系统)。科研方面主要利用电路仿真工具(multiSIM或PSPICE)进行电路设计与仿真;利用虚拟仪器进行产品测试;将CPLD/FPGA器件实际应用到仪器设备中;从事PCB设计和ASIC设计等。在产品设计与制造

电气自动化专业必须要学习的知识

大学期间,主要学习如下课程 主要理论课程(1)《电路分析》基本内容包括电路的基本定律、分析方法、交流电路,85学时,4学分。(2)《电子技术》基本内容包括数字电路、模拟电路、电力电子等,80学时,4学分。(3)《电机与电力拖动》基本内容包括直流电机、变压器、交流电机、特种电机等,60学时,3学分。(4)《电气测量》基本内容包括电压、电流、功率、电能、电阻等的测量,示波器的使用等,60学时,3学分。(5)《微机原理与接口技术》基本内容包括单片机原理与使用、汇编语言程序设计、接口技术等,60学时,3学分。(6)《建筑电气自动控制》基本内容包括继电接触器控制系统的典型控制环节、可编程序控制器、给排水系统的控制、空调与制冷系统、锅炉控制、建筑机械的控制等,60学时,3学分。(7)《建筑供电与照明》基本内容包括供电系统的构成、负荷和短路计算、防雷、接地、照明的基本知识,建筑供电、照明系统的设计等,75学时,4学分。(8)《电气设备安装工艺》基本内容包括室内配线工程、照明装置安装、架空线路安装、电缆线路安装、母线安装、变配电设备安装、防雷与接地装置安装等,75学时,4学分。(9)《建筑弱电技术》基本内容包括有线电视、闭路监控、电话、公共广播、保安系统、综合布线、弱电系统电源与接地等,60学时,3学分。(10)《自动消防》基本内容包括火灾自动报警系统、联动装置、自动灭火系统等,60学时,3学分。(11)《电气工程施工组织与管理》基本内容包括流水作业、网络计划法、施工方案、施工组织、施工成本控制、进度控制、质量控制、职业健康安全与环境管理、建设工程合同与信息管理等,60学时,3学分。2)主要实践教学环节(1)机械基础实训1周(2)房屋构造参观实习1周(3)工程测量实习1周(4)电子技术操作实习1周(5)电气安装工程预算实训1周(6)建筑供电与照明课程设计2周(7)电气控制系统安装实训2周(8)自动消防课程设计1周(9)建筑弱电系统课程设计1周(10)电工实训2周(11)电气安装系统实训2周(12)电气工程施工组织课程设计1周(13)建筑电气专业毕业设计9周(14)建筑电气专业生产实习2周(15)建筑电气专业毕业实习8周(16)建筑电气专业毕业答辩1周9专业特色本专业为院级教改试点专业。

电子设计自动化在电子设计中的应用

电子设计自动化在电子设计中的应用 发表时间:2017-01-09T14:50:10.380Z 来源:《基层建设》2016年27期作者:黄本锐 [导读] 利用EDA集成设计环境,可以使得电子设计流程全自动实现。本文结合EDA的相关特点和理论,研究了使用EDA进行电子设计的方法。 广西地凯科技有限公司广西南宁 530003 摘要:在电子设计自动化(EDA)工程中,用硬件描述语言表达设计思想,用计算机进行模拟、仿真,可测试设计把测试器件设计到芯片系统内部,实现了内建自测试功能。利用EDA集成设计环境,可以使得电子设计流程全自动实现。本文结合EDA的相关特点和理论,研究了使用EDA进行电子设计的方法。 关键词:电子设计自动化;电子设计;应用 前言 以往电子产品的设计方法为:设计项目经过工程估算,实验板搭试、调整,印刷电路板设计与制作,装配与调试,用逻辑分析仪、示波器等电子仪器进行性能测试,若测试不符合设计要求则从头开始,进行新一轮的设计开发过程,直到达到设计要求。这种循环有时需要3-4次,整个过程全由人工完成,使得新产品的开发周期很长。EDA工程的出现,现代电子设计方法的应用,加快了产品开发速度。可以说现代电子设计技术的核心就是EDA工程,它的出现促进了电路模拟技术的发展。 1、EDA技术概述 1.1 EDA技术特征 概括来说,EDA的主要特征是:硬件采用工作站和高档微机;软件采用EDA 212具,功能包括:原理图输入、硬件描述语言输入、波型输入、仿真设计、可测试设计、逻辑综合、形式验证、时序分析等各个方面。设计方法采用自顶向下的方法,设计工作从高层开始,使用标准化硬件描述语言(VHDL)描述电路行为,自顶向下跨过各个层次,完成整个电子系统设计。 此外EDA还提供了良好的逻辑综合与优化功能,它能够将设计人员设计的逻辑级电路图自动地转换为门级电路,并生成相应的网表文件、时序分析文件和各种报表,若设计没有错误,最终生成可以编程下载的文件。 1.2 EDA的技术优势 一是用HDL对数字系统进行抽象的行为与功能描述以及具体的内部线路结构描述,从而可以在电子设计的各个阶段、各个层次进行计算机模拟验证,保证设计过程的正确性,可以大大降低设计成本,缩短设计周期[1]。 二是EDA技术中最为瞩目的功能,即最具现代电子设计技术特征的功能是日益强大的逻辑设计仿真测试技术。EDA仿真测试技术只需通过计算机,就能对所设计的电子系统从各个不同层次的系统性能特点完成一系列准确的测试与仿真操作,在完成实际系统的安装后.还能对系统上的目标器件进行所谓边界扫描测试。 三是开发技术标准化、规范化,具有良好的可移植与可测试性。EDA技术的设计语言是标准化的,不会由于设计对象的不同而改变。 2、EDA在电子设计中的设计思路 2.1电路设计 设计人员首先确定设计方案,并选择能实现该方案的合适元器件,然后根据元器件设计电路原理图,接着进行第一次仿真,其中包括数字电路的逻辑模拟、故障分析等。其作用是在元件模型库的支持下检验设计方案在功能方面的正确性。 仿真通过后,根据原理图产生的电路连接网络表进行印刷电路板的自动布局布线。在制作PCB之前,还可以进行PCB后分析,并将分析结果反馈回电路图;进行第三次仿真,称之为后仿真。其作用是检验PCB在实际工作环境中的可行性。 2.2系统级设计 基于系统级的EDA设计方法其主要思路是采用“自顶向下”的设计方法,使开发者从一开始就要考虑到产品生产周期的诸多方面,包括质量、成本、开发周期等因素。第一步从系统方案设计入手,在项层进行系统功能划分和结构设计;第二步用VHDL、Vcrilog-HDL等硬件描述语言对高层次的系统行为进行描述;第三步通过编译器形成标准的VHDL文件,并在系统级验证系统功能的设计正确性;第四步用逻辑综合优化工具生成具体的门级电路网络表,这是将高层次描述转化为硬件电路的关键:第五步将利用产品的网络表进行适配前的时序仿真;最后是系统的物理实现级,它可以是CPLD、FPGA或ASIC[2]。 3、EDA在电子设计中的应用 3.1源程序的编辑和编译 利用EDA技术进行一项电子工程设计,首先需利用EDA工具的文本编辑器或图形编辑器将它用文本方式或图形方式表达出来,进行排错编译,变成VHDI。文件格式,为进一步的逻辑综合做准备。常用的源程序输入方式有三种:一是原理图输入方式:利用EDA工具提供的图形编辑器以原理图的方式进行输入,原理图输入方式比较容易掌握,直观且方便。 二是状态图输入方式:以图形的方式表示状态图进行输入。当填好时钟信号名、状态转换条件、状态机类型等要素后,就可以自动生成VHDL程序。这种设计方式简化了状态机的设计,比较流行。 三是VHDL软件程序的文本方式:最一般化、最具普遍性的输入方法,任何支持VHDL的EDA工具都支持文本方式的编辑和编译。 3.2逻辑综合和优化 所谓逻辑综合,就是将电路的高级语言描述(如HDL原理图或状态图形的描述)转换成低级的,可与FPGA/CPLD或构成ASIC的门阵列基本结构相映射的网表文件。逻辑映射的过程,就是将电路的高级描述,针对给定硬件结构组件,进行编译、优化、转换和综合,最终获得门级电路甚至更底层的电路描述文件。而网表文件就是按照某种规定描述电路的基本组成及如何相互连接的关系的文件。 3.3目标器件的布线/适配 适配所选定的目标器件(FPGA/CPLD芯片)必须属于原综合器指定的目标器件系列。对于一般的可编程模拟器件所对应的EDA软件来说,一般仅需包含一个适配器就可以了,如Lattice的PAC—DESIGNER。通常,EDA软件中的综合器可由专业的第三方EDA公司提供,而适配器则需由FPGA/CPLD供应商自己提供,因为适配器的适配对象直接与器件结构相对应[3]。

数字电子技术知识点

数字电子技术知识点 Company Document number:WTUT-WT88Y-W8BBGB-BWYTT-19998

《数字电子技术》知识点 第1章数字逻辑基础 1.数字信号、模拟信号的定义 2.数字电路的分类 3.数制、编码其及转换 要求:能熟练在10进制、2进制、8进制、16进制、8421BCD之间进行相互转换。 举例1:()10= ( )2= ( )16= ( )8421BCD 解:()10= 2= ( 16= 8421BCD 4.基本逻辑运算的特点 与运算:见零为零,全1为1; 或运算:见1为1,全零为零; 与非运算:见零为1,全1为零; 或非运算:见1为零,全零为1; 异或运算:相异为1,相同为零; 同或运算:相同为1,相异为零; 非运算:零变 1, 1变零; 要求:熟练应用上述逻辑运算。 5.数字电路逻辑功能的几种表示方法及相互转换。 ①真值表(组合逻辑电路)或状态转换真值表(时序逻辑电路):是由变量的所有可能取值组合及其对应的函数值所构成的表格。 ②逻辑表达式:是由逻辑变量和与、或、非3种运算符连接起来所构成的式子。 ③卡诺图:是由表示变量的所有可能取值组合的小方格所构成的图形。 ④逻辑图:是由表示逻辑运算的逻辑符号所构成的图形。 ⑤波形图或时序图:是由输入变量的所有可能取值组合的高、低电平及其对应的输出函数值的高、低电平所构成的图形。 ⑥状态图(只有时序电路才有):描述时序逻辑电路的状态转换关系及转换条件的图形称为状态图。 要求:掌握这五种(对组合逻辑电路)或六种(对时序逻辑电路)方法之间的相互转换。 6.逻辑代数运算的基本规则 ①反演规则:对于任何一个逻辑表达式Y,如果将表达式中的所有“·”换成“+”,“+”换成“·”,“0”换成“1”,“1”换成“0”,原变量换成反变量,反变量换成原变量,那么所得到的表达式就是函数Y的反函数Y(或称补函数)。这个规则称为反演规则。

河南机电高等专科学校电子设计自动化课程试卷

XX 机电高等专科学校《电子设计自动化》课程试卷 2006-2007学年第二学期 考试说明 为了避免考生在考试中因非技能因素影响考试成绩,特此将考试时值得注意的问题说明如下:请考生在考试前仔细阅读本考试说明,正式考试时按照本考试说明正确建立考生文件夹并保存考试结果文件。 在“资源管理器”中E 盘根目录下新建一个文件夹,文件夹 适用班级: 供电051、052;电力051-054; 电器051、052 出卷时间: 2007-4-17 考试方式: 闭卷 考试时间: 120分钟 课程性质: 考试 试卷类型: 样卷 一、原理图设计(60分) protel 设计,命名为Test.ddb 。在该设计中建立原理图文件,命名为One.Sch ,正确画出下图,并保存在该设计中。

图1 One.Sch

注:上图各元件参考模型如下表所示(元件序号可与下表所列不一致,但同一个序号不能重复出现): 二、PCB封装设计(10分) 元件封装,命名为Key,其尺寸和参考外形如下图所示:

图2 Key封装参考外形与尺寸 得分评卷人 三、PCB板绘制(共30分) 命名为Two.pcb,将One.sch各元件填上封装后,制成双面PCB板,该参考板如下图3所示,各元件参考封装如下表2所示。 元件类型元件序号封装 参考库 Part Type Designator Footprint 1N4001 D1 DIODE0.4 PCB Footprints.lib 1N4001 D2 DIODE0.4 PCB Footprints.lib 1N4001 D4 DIODE0.4 PCB Footprints.lib 1N4001 D3 DIODE0.4 PCB Footprints.lib 4 HEADER JP1 SIP4 PCB Footprints.lib 4 HEADER JP2 SIP4 PCB Footprints.lib 10k R1 AXIAL0.3 PCB Footprints.lib 100u C4 RB.2/.4 PCB Footprints.lib 100u C3 RB.2/.4 PCB Footprints.lib 150p C1 RAD0.1 PCB Footprints.lib ADC0804 U1 DIP20 PCB Footprints.lib CON4 J3 SIP4 PCB Footprints.lib DB25 J1 DB25/M PCB Footprints.lib SN74HC157 U2 DIP16 PCB Footprints.lib

电子设计自动化技术教学计划

《电子设计自动化技术》 课程教学计划 (90学时) 一、课程性质和任务 本课程是我校主干专业《电子电器应用与维修》专业的新课程。其任务是:使学生掌握电子设计自动化技术的基础知识,具备电子线路方面的计算机应用能力、电路的基本仿真设计能力和印制线路板的设计能力,并为培养学生的创新能力和全面素质打下良好的基础。 二、课程教学目标 (一) 知识教学目标 1. 理解电子设计自动化(EDA) 技术的基本概念。 2. 了解电子行业应用EDA技术的概况。 3. 进一步理解电子线路的电路结构、原理和特性。 4. 了解印制线路板的设计原则和制作工艺。 (二) 能力培养目标 1. 能正确使用EDA工具软件。 2. 能绘制符合规范要求的电原理图和印制线路板图。 3. 会对简单的电子线路进行仿真设计。 (三) 思想教育目标 1. 具有规范操作的意识和认真细致的工作作风。 2. 具有理论联系实际的能力和一定的创新精神和创业能力。 三、教学内容和要求 基础模块 (一) 绪论 1. 了解EDA技术的基本概念、发展与应用概况,了解常用EDA软件,了解本课程的主要内容和学习方法。 2. 了解电路仿真和PCB辅助设计在EDA技术中的作用和地位。 (二) 电路仿真软件基础 1. 了解仿真软件基本界面和帮助信息。 2. 掌握文件打开与建立、元器件操作、连线、文件存盘与退出、查看分析结

果和电路图输出等基本操作。 3. 理解子电路的含义及功能,掌握子电路的设计和使用方法。 (三) 仿真虚拟仪器使用 1. 了解数字万用表、函数信号发生器、示波器、扫频仪、信号发生器、逻辑分析仪和逻辑转换仪等虚拟仪器的面板功能。 2. 掌握电路仿真虚拟仪器的参数设置、连接、显示调整以及测量结果记录等方法。 (四) 电路仿真分析与设计 1. 掌握仿真元件参数的设置方法及器件的替换方法。 2. 了解仿真软件的重要分析方法对电路设计的作用。 3. 掌握静态工作点的分析、AC分析、瞬态分析、参数扫描分析等常用分析方法。 4. 了解常用器件建模过程,了解在互联网上获取新器件仿真模型的方法,并将其引入仿真软件中。 5. 了解晶体管、常用模拟集成电路、数字集成电路的建模方法及过程,了解常用新器件的模型收集方法。 6. 了解仿真结果的应用方法。 7. 了解将仿真结果进行再处理和应用到技术文档中的方法。 8. 了解电路网络表文件与印制线路板设计之间的联系,加深对EDA技术的理解。 (五) 印制线路板基础知识 1. 了解印制线路板的基本概念、种类和制作工艺。 2. 了解印制线路板设计的基本过程。 3. 理解印制线路板布局原则。 4. 理解印制线路板布线原则。 (六) 原理图编辑 1. 了解原理图设计软件的基本界面和各种编辑器。 2. 掌握原理图设计软件的启动、文件管理、工作环境设置。 3. 掌握原理图设计软件的电路元件、电源、I/O端口的放置与连接。 4. 理解总线、网络标号的概念,掌握总线的应用。 5. 理解层次图的概念及作用,掌握层次图的应用。 6. 理解电气规则检查的作用,掌握电气规则检查的方法。 7. 理解网络表文件的概念和作用,掌握网络表文件和元件清单的生成方法。 8. 掌握元件的设计方法。 9. 了解原理图输出参数的设置,掌握原理图的输出方法。 10. 学会原理图编辑的文件操作、元件调用和连线操作。 11. 学会总线和网络标号的应用。 12. 能绘制原理图。 (七) PCB设计软件 1. 了解印制线路板的设计流程。 2. 理解原理图、网络表和PCB元件之间的匹配问题。 3. 掌握网络表文件的修改方法。 4. 理解印制线路板的设计规则,掌握印制线路板的设计基本规则。 5. 掌握印制线路板手工布线的方法。

电子设计自动化发展前景

电子设计自动化发展前景 伴随我国电子信息产业规模的扩大,珠江三角洲、长江三角洲、环渤海湾地区、部分中西部地区四大电子信息产业基地初步形成。这些地区的电子信息企业集中,产业链较完整,具有相当的规模和配套能力。以下是小编为大家整理的电子设计自动化发展前景范文,欢迎阅读参考。希望对您有所帮助! 电子设计自动化发展前景 子元器件发展史其实就是一部浓缩的电子发展史。电子技术是十九世纪末、二十世纪初开始发展起来的新兴技术,二十世纪发展最迅速,应用最广泛,成为近代科学技术发展的一个重要标志。 第一代电子产品以电子管为核心。四十年代末世界上诞生了第一只半导体三极管,它以小巧、轻便、省电、寿命长等特点,很快地被各国应用起来,在很大范围内取代了电子管。五十年代末期,世界上出现了第一块集成电路,它把许多晶体管等电子元件集成在一块硅芯片上,使电子产品向更小型化发展。集成电路从小规模集成电路迅速发展到大规模集成电路和超大规模集成电路,从而使电子产品向着高效能低消耗、高精度、高稳定、智能化的方向发展。由于,电子计算机发展经历的四个阶段恰好能够充分说明电子技术发展的四个阶段的特性,所以下面就从电子计算机发展的四个时代来说明电子技术发展的四个阶段的特点。

我国电子元件的产量已占全球的近39%以上。产量居世界第一的产品有:电容器、电阻器、电声器件、磁性材料、压电石英晶体、微特电机、电子变压器、印制电路板。 伴随我国电子信息产业规模的扩大,珠江三角洲、长江三角洲、环渤海湾地区、部分中西部地区四大电子信息产业基地初步形成。这些地区的电子信息企业集中,产业链较完整,具有相当的规模和配套能力。 我国电子材料和元器件产业存在一些主要问题:中低档产品过剩,高端产品主要依赖进口;缺乏核心技术,产品利润较低;企业规模较小,技术开发投入不足。 光电子器件组装的自动化技术将是降低光电子器件成本的关键。手工组装是限制光电子器件的成本进一步下降的主要因素。自动化组装可以降低人力成本、提高产量和节约生产场地,因此光电子器件组装的自动化技术的研究将是降低光电子器件成本的关键。由于光电子器件自动化组装的精度在亚微米量级,自动化组装生产一直被认为是很困难的事,但近来有很大突破。国外的学术期刊已多次报道在VCSEL、新型光学准直器件和自对准等技术进步基础上,光器件自动化组装实现的突破,同时专门针对自动化组装的光电子器件设计也正在兴起。2002年OFC展览会上有十多家自动封装、自动熔接设备厂商参展,熔接、对准、压焊等许多过去认为只能由人工操作的工艺现在都能由机械手进行。据ElectroniCast预测,到2005年自动化组装与测试设备的销量将达17.1亿美元,光电子器件产值中

机电一体化系统设计重点考点整理

机电一体化系统的定义:在继续的主功能、动力功能、信息功能和控制功能上引进微电子技术,并将机械装置与电子技术用相关软件有机结合而构成的系统的总称。机电一体化是机械技术、电子技术及信息技术相互交叉、融合(有机结合)的产物。 机电一体化含有技术和产品两方面的内容:首先是机电一体化技术,主要包括其技术原理,即使机电一体化系统(产品)得以实现,使用和发展的技术;其次是机电一体化产品,该产品主要是机械系统(或部件)与电子系统(或部件)用相关软件有机结合而构成的新系统,且赋予其新的功能和性能的新一代产品。 机电一体化的关键技术:检测传感技术、信息技术、自动控制技术、伺服驱动技术、精密机械技术、系统整体技术。 机电一体化的五个构成要素:机械系统(机构)、信息处理系统(计算机)、动力系统(动力源)、传感检测系统(传感器)、执行元件系统。通过传感器直径检测目标运动并进行反馈控制的系统为全闭环。通过传感器检测某一部位运动位移并进行反馈,间接控制目标运动的系统为半闭环。 机电一体化三个系统目的功能:变换(加工、处理)功能;传递(移动、输送)功能;储存(保持,积蓄、记录)功能。 机电一体化系统(产品)的五种内部功能:主功能;动力功能;计测功能;控制功能;构造功能。 内部功能之间关系:主功能是实现系统“目的功能”直接必需的功能,主要是对物质、能量、信息及其相互结合进行变换、传递和储存。动力功能是向系统提供动力,让系统得以运转的功能。检测传感功能和控制功能的作用是根据系统内部信息和外部信息对整个系统进行控制,是系统正常运转,实施“目的功能”。构造功能是使构成系统的子系统及元、部件维持所定的时间和空间上的相互关系。 广义的接口功能有两种:变换、调整;输入/输出。根据变换、调整功能接口可分为:零接口(不进行任何变换和调整、输出即为输入,仅起连接作用);无源接口(只用无源要素进行变换调整);有源接口(含有有源要素、主动进行匹配的接口);智能接口(含有微处理器,可进行程序编制或可适应性地改变接口条件的接口)。根据输入/输出功能接口可分为:机械接口(只进行机械连接的接口);物理接口(受接口部位的具体形态和物理条件约束的接口);信息接口(受逻辑,软件约束的接口);环境接口(对周围环境条件有保护作用和隔绝作用的接口)。 机电一体化系统设计的三种考虑方法:机电互补法(又称取代法。特点是利用通用或专用电子部件取代传统机械产品或系统中的复杂机械功能部件或功能子系统,以弥补其不足);融合(结合)法(它是将各组成要素有机结合为一体化构成专用或通用的功能部件(子系统),其要素之间机电参数的有机匹配比较充分);组合法(它是将结合法制成的专用或通用功能部件(子系统)、功能模块像积木那样组合成各种机电一体化产品(系统))。 机电一体化的三种设计类型:开发性设计(它是没有参照产品的设计,仅仅是根据抽象的设计原理和要求,设计出在质量和性能方面满足目的要求的产品或系统);适应性设计(它是在总的设计方案、原理基本保持不变的情况下,对现有的产品进行局部更改,或用微电子技术代替原有的机械结构或为了进行微电子控制对机械结构进行局部适应性设计,以使产品在性能和质量上增加某些附加值);变异性设计(它是在设计方案和功能结构不变的情况下,仅改变现有产品的规格尺寸使之适应于量的方面有所变更的需求)。 机电一体化系统中机械装置的主要特点:较高的定位精度、响应要快、稳定性要好。 机械系统部件的设计要求:低摩擦,无间隙,高刚度,低惯量,高谐振频率,适当的阻尼比。

(完整版)山东大学电子设计自动化试卷3

五、VHDL程序设计:(16分) 设计一数据选择器MUX,其系统模块图和功能表如下图所示。试采用下面三种方式中的两种来描述该数据选择器MUX的结构体。 (a) 用if语句。(b) 用case语句。(c) 用when else语句。 Library ieee; Use ieee.std_logic_1164.all; Entity mymux is Port ( sel : in std_logic_vector(1 downto 0); -- 选择信号输入 Ain, Bin : in std_logic_vector(1 downto 0); -- 数据输入 Cout : out std_logic_vector(1 downto 0) ); End mymux; 六、根据原理图写出相应的VHDL程序:(10分)

七、综合题(20分) 下图是一个A/D 采集系统的部分,要求设计其中的FPGA 采集控制模块,该模块由三个部分构成:控制器(Control )、地址计数器(addrcnt )、内嵌双口RAM (adram )。控制器(control )是一个状态机,完成AD574的控制,和adram 的写入操作。Adram 是一个LPM_RAM_DP 单元,在wren 为’1’时允许写入数据。试分别回答问题 放大 采样/保持AnalogIn 信号预处理FPGA采集控制 adram (lpm_ram_dp)Control AD574CS ADData 12 CE A0 RC K12_8地址 计数器 CLK ClkInc Cntclr wraddr 10wren rddata 12rddata rdaddr 10 121STATUS 下面列出了AD574的控制方式和控制时序图 AD574逻辑控制真值表(X 表示任意) CE CS RC K12_8 A0 工 作 状 态 0 X X X X 禁止 X 1 X X X 禁止 1 0 0 X 0 启动12位转换 1 0 0 X 1 启动8位转换 1 0 1 1 X 12位并行输出有效 1 0 1 0 0 高8位并行输出有效 1 1 1 低4位加上尾随4个0有效 AD574工作时序: 问题: 1. 要求AD574工作在12位转换模式,K12_8、A0在control 中如何设置? 2. 试画出control 的状态机的状态图 3. 地址计数器每当ClkInc 时钟上升沿到达,输出地址加1,请对该模块进行VHDL 描述。 Library ieee; Use ieee.std_logic_1164.all; Use ieee.std_logic_unsigned.all; Entity cnt10 is Port ( ClkInc, Cntclr : in std_logic; -- 时钟信号和清零信号输入 Wraddr : out std_logic_vector (9 downto 0) ); End cnt10;

电子设计自动化技术试卷1答案

试卷 第 1 页 共 1 页 试卷答案: 一、 选择题 1 2 3 4 5 6 7 8 9 10 D C A D A A A A A D 二、名词解释,写出下列缩写的英文全称和中文含义 1. EDA EDA Electronic Design Automation 电子设计自动化 2. CPLD Complex Programmable Logic Device 复杂可编程逻辑器件 3. SOPC System-on-a-Programmable-Chip 可编程片上系统 4 IEEE Institute of Electrical and Electronics Engineers 电气和电子工程师协会 5 FPGA Field -Programmable Gate Array 现场可编程门阵列 6 PLD Programmable Logic Device 可编程逻辑器件 7. JTAG JTAG Joint Test Action Group 联合测试行动小组 8. IP Intellectual Property 知识产权 9. ASIC Application Specific Integrated Circuits 专用集成电路 10. VHDL Very-High-Speed Integrated Circuit Hardware Description Language 超高速集成电路硬件描述语言 每小题写出英文全称2分,中文含义1分 三、 VHDL 程序填空 1 SEG7DEC "1101101" "1111111" 2 '1' WHEN A='0' AND B='1' ELSE 3 0 ENA='1' outy+1 4 0000000000000000 D sreg(1 5 downto 1)<=sreg(14 downto 0) 每空3分 四、 1. 时序电路的初始状态常常由复位信号来设置。根据复位信号复位时机的不同,可将复位分为同步复位和异步复位两种(4分)。所谓同步复位,就是当复位信号有效且在给定的时钟边沿到来时,电路才被复位(3分),此时复位的状态与时钟同步,有助于信号的稳定和系统毛刺的消除;异步复位状态与时钟状态不要求同步,一旦复位信号有效,电路就被复位(3分)。 2. 主要PLD 厂商: 1) Altera :Altera 公司在20世纪90年代以后发展很快,是最大的可编程逻辑器件供应商之一。 (2分) 2) Xilinx: FPGA 的发明者,老牌PLD 公司,是最大的可编程逻辑器件供应商之一。(2分) 3) Lattice (2分) CPLD/FPGA 器件的开发设计一般可以分为设计输入(1分)、设计实现(1分)、设计校验(1分)和下载编程(1分)四个步骤。

(完整版)电气自动化专业知识

电气自动化专业知识 第一部分电工学基础知识 一、电路基础 1、电路:电流流过的全部通路称为电路。它是由一些电的 设备或器件组成的总体。 2、电源:电路中提供电能或电讯号的器件。 3、负载:在电路中吸收电能或输出讯号的器件称为负载。 4、激励:电源的电流或电压称为激励函数或激励。 5、响应:负载上的电流或电压称为响应。 6、电路元件:电路器件的理想化模型称为电路元件。 7、电容元件:具有储存或释放电场能量的性质,即电场效 应。 8、电感元件:具有储存或释放磁场能量的性质,即磁场效 应。 9、电压:电路中两点电位之差称为电位差,或电压。 10、基尔霍夫定律包括电流定律(KCL)和电压定律(KVL)。 11、基尔霍夫(第一定律)电流定律(KCL):对于任一电 路中的任一节点,在任一瞬时,流出该节点的所有支路 电流的代数和为零。 12、基尔霍夫(第二定律)电压定律(KVL):对于任一电 路中的任一闭合回路,在任一瞬时,流出该闭合回路的 所有支路电压的代数和为零。

13、交流电路:电流、电压的大小或方向随时间变化的电路 称为交流电路。 14、正弦交流电路:电流或电压按照正弦规律变化的电路称 为正弦交流电路。 15、最大值(振幅):正弦电流或电压瞬时值的大小和方向 随时间而变化,幅值变化的最大范围称为最大值或振 幅。 16、周期:正弦函数是一个周期函数,重复变化一次需要的 时间称为周期。周期用T表示,单位为秒(s)。 17、频率:周期的倒数称为频率。频率用f表示,单位为赫 芝,简称赫(Hz)。 18、角频率:正弦电流变化一个周期,幅角变化为2π弧度, 单位时间幅角变化的弧度数2π/T,叫做角频率。用ω表 示,单位为弧度/秒。ω=2πf=2π/T。 19、相位:正弦电流的幅角(ωt+ψi),叫做正弦量的相位。 相位是时间的函数,表示正弦量变化的进程。t=0时的 相位ψi叫做正弦量的初相。 20、正弦量的三要素:正弦量的振幅,角频率(或频率)和 初相,是决定正弦量的三个基本参数,也是进行比较和 区分各个正弦量的依据,称为正弦量的三要素。 21、两正弦量相位之差称为相位差。同频率正弦量的相位差 等于初相之差,它与ω及t无关。即相位差是一个常数。

电子设计自动化课程设计报告

电子设计自动化课程设计报告

电子设计自动化课程设计报告

学生姓名: 学号: 课设题目: VGA彩条信号显示控制器设计同组人:

电子设计自动化课程设计报告 郝欣欣 一、课程设计内容 1、使用Verilog语言和Modelsim仿真器完成可显示横彩条、竖彩条、棋盘格相间的VGA控制器的设计和验证 2、设计并验证可显示英语单词”HIT”的VGA 控制器 3、使用Quartus II和SOPC实验箱验证设计的正确性 4、Verilog代码要符合微电子中心编码标准 二、FPGA原理 CPLD、FPGA是在PAL、GAL等基础上发展起来的一种具有丰富的可编程I/O 引脚、逻辑宏单元、门电路以及RAM空间的可编程逻辑器件,几乎所有应用门阵列、PLD和中小规模通用数字集成电路的场合均可应用FPGA和CPLD器件。CPLD的设计是基于乘积项选择矩阵来实现的,而FPGA基于查找表来设计的。查找表就是实现将输入信号的各种组合功能以一定的次序写入RAM中,然后在输入信号的作用下,输出特定的函数运算结果。其结构图如图1所示: 图1. FPGA查找表单元 一个N输入查找表(LUT,Look Up Table)可以实现N个输入变量的任何逻辑功能,如N输入“与”、N输入“异或”等。

输入多于N个的函数、方程必须分开用几个查找表(LUT)实现(如图2 所示)。 图2 FPGA查找表单元内部结构 该系统设计中,FPGA芯片用的是ALTERA公司的EP1K30QC208-2,它的系统结构如图3所示。它由若干个逻辑单元和中央布线池加I/O端口构成

图3 EP1K30QC208内部结构 三、VGA接口 VGA的全称为Video Graphic Array,即显示绘图阵列。在PC行业发展的初期,VGA以其支持在640X480的较高分辨率下同时显示16种色彩或256种灰度,同时在320X240分辨率下可以同时显示256种颜色的良好特性得到广泛支持。后来,厂商们纷纷在VGA基础上加以扩充,如将显存提高至1M并使其支持更高分辨率如800X600或1024X768,这些扩充的模式就称之为VESA(Video Electronics Standards Association,视频电子标准协会)的Super VGA模式,简称SVGA,现在的显卡和显示器都支持SVGA模式。 图4 VGA接口 VGA接口就是显卡上输出模拟信号的接口,也叫D-Sub接口。VGA接口是一种D型接口,上面共有15针空,分成三排,每排五个。VGA接口是显卡上应用最为广泛的接口类型,绝大多数的显卡都带有此种接口。 表1 VGA管脚定义 管脚定义 1 红基色 red 2 绿基色 green 3 蓝基色 blue 4 地址码 ID Bit 5 自测试 (各家定义不同)

相关文档
相关文档 最新文档