文档库 最新最全的文档下载
当前位置:文档库 › 【EDACN论坛】Xilinx版帖子汇总V1.1

【EDACN论坛】Xilinx版帖子汇总V1.1

【EDACN论坛】Xilinx版帖子汇总V1.1
【EDACN论坛】Xilinx版帖子汇总V1.1

EDACN论坛Xilinx版帖子汇总V1.1 

 

 

前几天寻找yangfeng版主的《EDACN论坛设计交流版帖子汇总》,却怎么也找不到了(上个月实验室失窃,和硬盘一起丢了)。突然想到做一个Xilinx版的。对着电脑四天后,终于总结了这些。先放到网上和大家分享,以后继续更新。 

在随后的文档里,我摘录了Xilinx版块中我能访问到的帖子的精华内容。包括hyzhangwang、keeny、firefighters、 westor、deve、mail007、丁丁、yaoguaiws、santa等在Xilinx版块十分活跃的大侠的精辟观点。由于工作量较大,在文档里没有一一列出回答是源至哪位网友,望见谅。本汇总帖子是Xilinx版块发帖人员和全体EDACN论坛集体智慧的结晶,希望能对大家有用。 

心里很急于和大家一同分享,这个版本难免会有不尽如人意的地方,随着论坛的发展,本文档的版本也会更新。同时,Xilinx版块里的珍宝也不是我这几天就能完全“打捞”干净的。有更多的问题,欢迎访问Xilinx版块。虽然本人没有什么技术、但乐于为大家寻找答案,Xilinx版块众多热心网友也会回答您的问题。 

谢谢支持! 

 

 

gxltt 2005-11-15 

 

 

1、问:xilinx 下载错误,请高手指点,谢谢 

ERROR:iMPACT:583 - '1': The idcode read from the device does not match the idcode in the bsdl File. 

INFO:iMPACT:629-'1': Device 

IDCODE : 00000001000000000000000000000011 

INFO:iMPACT:630-'1':Expected 

IDCODE: 00101001010100000010000010010011 

PROGRESS_END - End Operation. 

Elapsed time = 2 sec. 

答: 

A)我以前碰到过,可能有两方面的原因: 

1。在xilinx早期的coolrunner器件中,由于是别的厂家代工,芯片里面的IDCODE本来就不对,这种情况,你需要修改库里的相应型号的bsdl文件。

就可以了。 

2。大多数情况下,估计是你的下载电缆信号完整性不好,读出的IDCODE不对。在这方面想想办法! 

B)主要还是看看你的下载线吧!!! 

C)搞定了,下载板的问题,有一个电容太大了,换掉就OK了 

D)电缆检测到的信号和器件的型号不匹配 

碰到这种问题最烦了,只好试了 

1。换一个原装电缆 

2。改一下并口的模式 

3。TMS,TDI,TCK加一下上拉 

E)主要是检查下载电缆,我原来也是这样的错误 

2、问:JTAG 

答: 

关于在JTAG方式下,使用impact发生的各种问题(初始化JTAG链找不到器件,出现很多unknow设备,正确识别但下载不成功)原因,偶发表个人的粗浅认识如下: 

问题原因分析: 

1)FPGA JTAG链的设计错误; 

2)线缆问题(过长)或者自行设计的下载电路问题(设计错误、元器件上板的焊接参数不对...); 

3)器件质量问题(下载电缆或者下载电路、FPGA 等元器件的质量问题~); 4)其它电路设计问题(供电情况:FPGA供电、下载电路的数字芯片的供电等等是否正常? 设计情况:自行设计的下载电路如果用到CPLD,其中的设计是否正常?等等....) 

排查建议: 

1)通常情况下,1)和2)产生问题的可能性比较大;3)和 4)产生问题可能性相对少些,在排除1)和2)后,可以转入3)和4)的检查; 

2)如果下载线缆是购买的,质量一般可以保证; 

3)如果有替代品(下载线缆、下载电路、其他带有FPGA或者CPLD的板子),也使用排列组合快速推断问题的部位; 

4)如果有条件的话,使用记忆示波器,跟踪检测那几根JTAG信号。关于JTAG链的理解,请参考xilinx相关文档资料~ 

3、问:MOM1M2接高电平的时候 是应该接5V 还是接VCCO啊? 

答: 

看你所用芯片的datasheet。3.3是io口电压vcco,2.5是核电压vccint。 4、问:为何不能识别下载电缆? 

答: 

有长度限制,原装下载线都有1米多,自己做的最好不要超过50cm,长的话校验的时候老出错,或者不报错,但实际逻辑并没下进去 

5、问:chipscope怎么使用啊? 

答: 

A)chipscope 说白了(不专业点)就是一种在你综合的网表中插入观测信号的模块!利用你没有使用的所剩的blockram 来把采集到的信号放如ram中!最后从插入的模块中输出! 不能输入信号!只能看! 要看什么 和看深度的多少由你的FPGA中剩下的ram决定!至于采样的信号所需要满足得条件为触发条件 一般

用默认就可以了。 如果用高级或者看 信号沿之类的就看看手册! 

B)首先chipscope不如altra的signalTAP来的方便,我觉得这个是xilinx所不应该的。 

其实使用很方便,chipscope的mannual讲的很详细,我这里可以重复一下: 

1,在你的设计里add new source 

2,选择chipscope definition and connection 

3,打开你所建立的新文件,cdc格式,就可以在里面进行信号的添加了,很简单,你只需要next然后稍微改些东西就可以了。 

4,分成三步:a),加入trig信号;b),加入获取设置;c),加入所需察看的信号 

在modify connections的右边有net connections,其中包括了clk,trig和data信号,这里的clk不是什么信号都可以的,必须是bufg的才行。data也有要求,不是所有的都可以拿出来看的,具体哪些可以看,哪些不可以看,我也不太清楚,每次都是试,望高手指教! 

所有的insertor配置完成后,就可以选inserter将其插入到你的设计里,然后回到ise,run一下implement就可以了,记住下载不能用cclk,必须用jtag下载,否则不能工作。 

生成jtag的下载文件,就可以用chipscope观看了,电开后先打开cable,然后从device将逻辑下载进去,在设置好了trig信号后,就可以run了,等你的硬件逻辑trig信号满足条件,就可以将rom里的数据通过cable发送上来。 

心得:总之,有比没有好,调试时还是非常方便的,可惜很不好用,远不如altra的signalTAP方便,比如,不支持bus的自动分配,每次查看bus时,都是手动分配,累死了,而signalTAP会自动帮你完成这些工作,还有就是信号没有名字,只有标号,害得每次都是自己取名字。还有很多不爽的,就不说了,毕竟xilinx是大公司,我们小人物就不多说了。其他的你们自己都体会吧! 

C)其实很容易用的啦。比如在ISE6.3或7.1中.进ISE,打开你现有的ISE工程(布

线完成的工程)。选菜单新建一个文件,选CHIPSCOPE类型,然后就可以在PROCESS列表中双击该CHIPSCOPE小图标进入,开始连探头的线了。跟上面12楼的朋友说的过程差不多。我上回只用10分钟就教会一个新同事用CHIPSCOPE。 

信号标号的问题,是根据EDIF网表中的名字命名。具体EDIF中的信号命名是有综合器决定的,如果你的综合器没有改信号名,就和代码中的名字一样的。 

连BUS的问题,如果你的EDIF是BUS展开成单个信号的,需要自己combine BUS。还好了,只用连一次,及时保存下来就可以。 

6、问:请问用XST综合怎样指定某个信号不使用全局时钟资源? 

答: 

ISE中好像不能约束,用SynplifyPro可以实现约束,但是ISE自动指定其为全局时钟,可能的确因为该信号load的太多了,有必要考虑用全局时钟,片子的全局时钟有4个的嘛,如果不是很紧张,有必要连接到全局时钟上。而一般的问题是,这个信号并没有连接到全局时钟脚上,这个解决办法就是:使用一个iBuf library unisim; 

using unisim。compand。all (具体记不清楚了,用ISE的向导生成的文件前面这一段代码是注释的) 

然后用port map 将你的这个信号的输入脚映射到ibuf的I脚上,然后ibuf的O脚就可以从内部链接到全局时钟上,这是经验哦,^_^,在xilinx的官方网站的问题回答中我见过非常简洁的描述:“使用一个ibuf把信号引回到全局时钟网络上去”。就是这样做的。 

7、问:对于每一款FPGA芯片,都有一个速度等级的要求。例如,-5,-6等等这代表着什么意思呀? 

答: 

A)-5, -6代表速度不同。XILINX的话6比5快,ALTERA则相反。速度不同体现在: 

 1,同样的模块可达到运行频率不同;2,内部MEMORY资源读写速度不同;3,引脚输入输出TIMING不同 ( Tsu, Th, Tco等) 。具体的要比较DATASHEET,当然更快的芯片价格也高。

B)对于完全相同的代码,理论上上片以后速度-7>-6>-5。但是价格-6都是-5

的2倍了 ̄总体上说其实,速度差距不会太大,大约在10%-20%以内。实际就是XILINX生产出来后检测速度快的就标志为-7哈 ̄ ̄ ̄ ̄以此类推对于实际使用,如果不是特别严格,还是用-5的好` ̄ ̄因为价格差距太大。 

8、问:如何提高算术运算的速度? 

答: 

A)加了流水,跑到120MHz,继续加跑到了180MHz; 

B)如何在virtexII里面实现计算: addr(17:0) = v(9:0) * 200 + h(9:0) / 4 在这个案例中: 

1. 乘法是10bit输入,乘固定数。这个可以优化。200是 11001000可能不用专用乘法器的综合结果可以更快。 

2. 除法是/4,所以直接取高8bit,不用算,也不用占任何资源。 

3.加法实际上是 18bit+8bit

简单的把加法和乘法分成3个时钟周期来做, 尝试不使用专用乘法器,先x3,再加,再加,估计就可以达到140Mhz.

你在综合器选项中记得 : 

a. 不选resource sharing,

b. 打开retiming,

c.检查所有上面提到的变量都是寄存器。 

d.所有寄存器都要同步复位.

e.不加也不看I/O约束,

f. 把时钟约束到150Mhz 

g. fsm explore , fsm optimize 打开 

h. 不需要自动插入I/O pad 

另外 . 在布线器中也要选择不自动插入I/O pad,按速度优化,努力程度高! 

如果你要挑战性能极限, 

可以把整个计算过程拆成更多拍>3,10bit*3 还可以再拆成多拍。 :p 

9、问:关于simprim库 

答: 

simprim 是个仿真库,不能用于综合。所以通常在比较规范的编码规范中,为了

把在综合时期和仿真时期使用同一套代码,都会在simprim 的引用语句前面加上 'Systhesis Translate off/on’ 或同等功效的语句,使对simprim的引用在布线时期被忽略。 

10、问:FPGA能够实现固定延时吗? 

答: 

A)使用同步设计,用寄存器+计数器应该可以做到。寄存器保存信号值,计数器计算延迟时间。 

B)长远考虑还是用计数器+寄存器做。 

C)可以使用FIFO或者SHIFT REGISTER LOOK UP TABLET 

11、问:timing analyzer显示频率能达到我的要求,为什么还是有问题? 答: 

要分离一下是 外部接口的问题,还是内部逻辑的问题。如果Timing 覆盖全面,Timing Analyser的信息还是比较可靠的。建议分析I/O以及外围芯片的TIMING是否满足时序要求。 

12、问: Number of RPM macros: 8 

答: 

留意 “ Number of RPM macros: 8 ” 

也就是说你使用的IPCORE在生成的时候时带有 相对定位宏 信息的。建议取消相对定位宏试一试。你可以: 

1.在生成core的时候选无RPM 

2. 或者,在布线选项中选择 忽略 RPM信息 

13、问:如何实现复杂算术表达式的计算? 

答: 

个人认为你应该先确定用什么硬件资源来存储两个矩阵和中间结果,还有你计划用多少个运算部件。 然后设计整个控制流程,分划流水线。 这样整个构架就一步一步细化。 

14、问:如何计算门数 

答: 

FPGA等效门数的计算方法有两种,一是把FPGA基本单元(如LUT+FF,ESB/BRAM)

和实现相同功能的标准门阵列比较,门阵列中包含的门数即为该FPGA基本单元的等效门数,然后乘以基本单元的数目就可以得到FPGA门数估计值;二是分别用FPGA和标准门阵列实现相同的功能,从中统计出FPGA的等效门数,这种方法比较多的依赖于经验数据。 

对于第一种方法,FPGA包括LUT/FF/RAM等资源,分析各种资源等效门数时,总原则是等效原则,就是实现相同的功能,在标准门阵列中需要的门数就是FPGA该资源等效门数,例如实现一个带寄存器输出的4输入XOR,在FPGA中需要用一个LUT和1个FF实现,在标准门阵列中一般要用21个与非门实现,于是1个LUT+1个FF等效于21个门。对ESB(BRAM),由于用标准门阵列实 现1bit的RAM时一般需要4个门,因此ESB/BARM 做RAM使用时,1bit等效4个门,对Altera FPGA中一个2048bit的ESB,等效门数为8K。光靠这些数据还不能比较准确地计算出FPGA的等效门数。因为这只是一种简单情况,实际情况要复杂很多。 例如,如果实现的是带寄存器输出地2输入XOR,FPGA也要用1个LUT+FF,而标准门阵列只需要8个NAND,于是1个LUT+1个FF只等效于8个门。同时特定功能的实现,在不同的标准门阵列系列中需要的门数也不一样,因此等效门的计算只能是个大概的数值。 也就是说对于某一具体型号FPGA的门数估计,与FPGA资源的用途有密切关系。LUT用于实现2输入XOR和4输入XOR等效门数不一样(分别为1和13);FF不带异步清零、复位、时钟使能和带这些端口的等效门数不同(分别为8和13);ESB(BRAM)做RAM使用时,1bit等效4个门,1个2048bit的BRAM等效8K门,但是做查找表使用时可能只相当于不到200门。因此估计FPGA的等效门数需要做更细致的分析。 图1显示了EP20K系列的等效门数等参数,下面以EP20K1000E为例详细说明FPGA等效门数的估计方法。 

(1)计算逻辑阵列的等效门数:估算EP20K1000E的门数时,把FPGA特定资源和LCA300K标准逻辑阵列的门数(LSI LCA300K Data Book)比较,可以对FPGA等效门做出估计。FPGA一个LUT+FF等效门数计算如图2所示 即LUT+FF等效于8~21个门,上限和下限分别由实现简单函数、复杂函数分别界定。 APEX20K的等效门数也可以根据经验数据获得,把超过100个针对4输入LUT的设计用FPGA实现,同时用LCA300K gate arrays和Design Compiler实现,比较相同的设计FPGA所用的LE数目和LCA300K所用的门数可知,每个LE相当于

12个门。EP20K1000E有38400个LE,于是相当于46万门。

(2)计算ESB的等效门数 RAM中一个bit所需要的门数与RAM的体系结构、工艺、厂商等有关,一般而言,1bit相当于4个门,Altera也采用这个标准,这样可以方便地估计ESB等效门数。 计算ESB等效门数也可以采用和LSI LCA300K比较的方法,即通过与实现相同容量RAM在LCA300K所用的门数相比较,从而得到ESB的每一bit相当于多少门,从而计算出ESB的等效门数,参考图3。 从上表可见,4gates/bit是一个比较合适的估计,于是EP20K1000E的ESB等效门数为160 ESBs X 2,048 bits per ESB X 4 gates per bit = 1,310,720 gates,即约为130万门。 总而言之,对EP20K1000E,LUT+FF等效门数约为46万(经验数值),ESB全用作RAM时等效门数约为130万,所以最大系统门数为170万。 结论:FPGA等效门数估计方法可以是把FPGA资源基本单元(如LUT+FF,ESB)和实现相同功能的标准门阵列相比得到FPGA基本单元等效的门数,然后乘以单元的个数得到整个FPGA等效门数。也可以是实现很多设计,和用标准门阵列相比,从中统计出等效门数。FPGA的等效门数估计一般分为LUT+FF和ESB(BRAM)两部分,LUT+FF等效于8~21个门,典型值为12;ESB做RAM使用时,一般相当于4门/bit,此时估计出的门数最多,如果ESB做乘积项/LUT则等效门数大大减小,例如对EP20K1000E,前者为130万,后者为2万 

15、问:当ise调用ip核时,用synplify是不是不能综合ip核阿 

答: 

对于 IP 的综合,因为是加密,所以综合器都是认为是黑盒子。 对于综合器而言,它只用知道端口定义和名称就行了。所以,你在第三方综合工具,不能看到底层的结构,综合器没有对此综合。在下一步 适配和布线,软件才将IP解析为硬件源语,形成硬件结构。 

在Matlab 中使用VHDL或Verilog 也是同样的。实际中, 我们关心的只是输入什么样的值,就能产生什么样的结果。 也是一种知识产权的保护措施。XILINX 中,能直接调用用的三方工具。在Altera 设备中,需要在第三方工具中,生成网表文件edf再到Quartus中进行适配布线。设计流程是这样的。 

16、问:ddrsdram 控制器 

答: 

坦白说, DDR SDRAM的设计,最好使用原厂的全套代码, 以及用原长的PCB和原理图作为参考,能抄就抄.原因是,我们中小型用户通常在测试仪器和PCB Layout的信号完整性仿真方面,设备和经验都 不够强. Memory接口的DEBUG有比较麻烦.通常出现的问题是信号完整性的问题和TIMING的问题. 

如果是象我同事现在做Virtex-4的200Mhz DDR SDRAM MEMORY接口,尽量参考ML461 demo板的全套东西.高速内存接口,自己做代价太大,调试和验证经验需要很高的水平. 

建议你review设计,看看以下因素: 

1. PCB布线方面通常要求端配,要求DQ和对应DQS要走等长,过孔数目要少. 一驱多的时候需要考虑拓扑关系. 阻抗匹配和阻抗连续是必须保证的,最好参考ML461演示板. 

2. 片扩展部分,可以参考内存条模块的布线. 如果条件允许,使用内存条比自己做片扩展要容易. 

3.通常尽量只作字扩展,不作深度扩展,保证DQ,DQS这样的双向信号是一对一驱动. 

4. 在TIMING问题上,调试时要分离是读方向的问题还是写方向的问题,刚开始调试时不要加仲裁器和FIFO模块,只调硬件接口 

5. 必须完全遵照 数据手册上的状态转换和 Timing要求,刚开始调试不可以走捷径.先保证PCB layout是好的,再调内部逻辑. 

6. 调试情况不理想,需要做试验的时候,可以用到DCM的移相功能. 

7. 开始调试的时候可以把时钟速度设定在100Mhz,调好了再向上走. 

8. 最好有高档示波器和高档探头做一下PCB上的TIMING测量. 

9. 如果测量发现多个DQS时序有较大差异,可以需要考虑利用直接布线的方法, 实现 direct data capture & recapture技术. 

17、问:各位大哥,除以3怎么作啊 

答:

A)IP CORE; 

B)做个计数器,每次加三 

18、问:请问如何用FPGA来驱动LCD显示 

答: 

A)我觉得主要还是你用的什么LCD,你明白了LCD的时序,那你就可以按LCD需要的时序来发送数据了。LCD当然有自己的字库了,但是一般厂商都给出来了简单的英文加点标志的字库了。 

B)LCD是个慢速器件,用FPGA直接控制时注意一下时序匹配 

C)一般16*2的LCD要求没那么高了,我以前用,单片机控制过,时钟才11.0592MHz,单片机一条指令执行要12个时钟周期,送一个命令还要几条指令呢!LCD器件本身的Tmax, Tmin当然是重要的了。用的是xilinx的Virtex-II Pro的开发板,上面说了的啦,里面有powerpc405,也要自己做一个挂在OPB总线上面的设备,这个我还不会,因为看不懂那些vhd代码(偶没搞过FPGA),也不晓得具体流程了。 

不过你只是做驱动,没必要这么做了,自己由硬件描述来搭建应该就可以了,用什么器件都不重要,而且那篇文章主要介绍如何做挂在OPB上面的外围设备的。如果你要做驱动,还是找LCD芯片的资料看看啦。 

19、问:FPGA CPLD的选择 

答: 

A)组合逻辑比较的多的用CPLD,时序电路比较多的用FPGA 

B)FPGA资源比较多,做一些协议的实现,算法实现,完整控制器比较合适。CPLD相对资源比较少一些,相对用在扩展IO的场合、合并分立元件的场合比较多。另外,一般FPGA是易失性的,掉电后里面内容丢失,所以一般要配一个ROM。要考虑这个成本。 

C)我认为如果要做一个有运算功能的则用FPGA比较合适,而简单的应用可用CPLD,CPLD可用的余量较小

20、终于自己解决了仿真中testbench的问题! 

在前一个帖子中,我提出了如下问题,希望得到大家的解决“仿真的时候ModelSim老是提出虚拟内存太小”。有一些网友回了贴,不管是错是对,都感谢他们的支持和意见。经过仔细对每条语句分析,终于debug了我的testbench。其中经验分享给大家,希望大家以后吸取我的教训。 

我在testbench里面写了这么一句话(目的是产生自累加记数): 

initial begin 

adc_Data <= 0; 

end 

always begin 

#(1000/100/2) adc_Data <= adc_Data + 1; 

end 

错就错在那个该死的non-blocking符号上面!为什么出现如下的问题呢?原因是"<="符号在赋值的时候采用的是绝对时间赋值,分析如下的语句即可以分析出原因: 

initial begin 

data <= 4'd0; (在t=0时刻,将data变为0)

data <= #23 4'd8; (在t=23时刻,将data变为8) 

data <= #43 4'd15; (在t=43时刻,将data变为15) 

end 

此时的时间是绝对时间(应该是绝对时刻,比较准确一些),然而还有一个中写法,是采用blocking赋值的方法,即采用"="赋值,此时仿真器采用的是相对时间,具体如下: 

initial begin 

data = 4'd0; (在t=0时刻,将data变为0)

data = #23 4'd8; (经过23个单位时间,将data变为8,此时为t=23时刻) data = #43 4'd15; (再经过43个单位时间,将data变为15,此时为t=66时刻) 

end 

这下大家明白我上面的语句为什么ModelSim老是提示内存空间不够了吧! 

initial begin 

adc_Data <= 0; // 在t=0时刻adc_Data被赋值为0 

end 

always begin 

#(1000/100/2) adc_Data <= adc_Data + 1; 

end 

这个always语句中的"adc_Data <= adc_Data + 1"就不对了! 它的意思是说在时刻(1000/100/2)的时候将adc_Data+1后再赋值给adc_Data,此时adc_Data得到新值。该adc_Data值的变化重新触发always语句,使得里面的内容重新被启动。值得注意的是,第二次对adc_Data赋值的时候,还是在时刻(1000/100/2)!因为我用的是绝对时间!那这就出现了相当困难的问题:即需要在(1000/100/2)时刻将adc_Data自累加1无数次!这在任何理论和实验中都是不可能办到的!(哪怕是Big-Bang也要那么一点点时间啊!! 瀑布汗....)将上述语句改为下述语句,轻松解决问题: 

initial begin 

adc_Data = 0; 

end 

always begin 

#(1000/100/2) adc_Data = adc_Data + 1; 

end 

21、inout 在test里如何赋值 

答: 

A) 简单的仿真时,当inout端口做输出时,给这个端口赋确定的信号值;inout端口做输入时,给它赋"Z"值。 

B)我以前也遇到过这样的问题,就是它的赋值问题.在综合的时候,一般是这样写的语句: 

inout [N-1 : 0] biDirIO; // bi-directional port 

input dirCtrl; // direction control 

reg [N-1 : 0] inReg, outReg; 

always@* 

begin 

if(dirCtrl) 

inReg = biDirIO; 

end 

assign biDirIO = dirCtrl ? {N{1'bz}} : outReg; 

这样的话,在你的testbench里面需要将该端口设置为wire类型(切不可为reg!)。然后在另外一方的接口处同样将biDirIO申明成双向口,同时用dirCtrl来控制数据的流向。我就是这样设计SDRAM控制器和testbench的。 

22、问:买回来一根下载电缆 应该如何检查他的好坏啊 

答: 

1. jtag链的界面,点右键,auto connect,可以检测线缆的好坏。 

2. 或许你的下载线太长了。驱动能力不够。 

23、问:关于microblaze的中断不知道那位大侠调试过没有? 

答: 

A)先从demo程序开始跑,跑通后,再一点点修改。我用ml403跑microblaze得中断跑通了,建议你看看终端控制器的PDF手册。 

B)采用Altera PCI Megacore OpenCore plus特性,能下载到采用Cyclone 1C6 1C12。初次配置,直接下载到配置芯片,不影响正常工作。重新关机,启动识别到设备。 

该PCI 包含 Master 、Target方式,都是状态控制器在工作,产生PCI时间关系。 需要设计的为两种方式下的接口控制状态器,同样也是状态机。 对于异常的处理,都是产生于目标设备。 为简单和有效,设计没有异常发生。

对于外部的逻辑接口,设计为通用的RAM时间关系。双口RAM能很好的解决时间和数据的同步关系。对于PCI中断,实际中验证,就是外部的低电平中断。

计算机在响应到中断后,再清除该中断。在RAM中保留中断寄存器。类似9054的中断操作。查询该寄存器,清除该中断。 

24、在Modelsim中自动编译仿真库的方法!----非常方便,可靠! 

这两天的发贴来信询问关于ModelSim仿真的问题很多,其中很多都是因为没有正确在Modelsim中编译仿真库造成的。为了方便大家,我改写了一个ModelSim的宏,运行它可以自动在ModelSim中编译Xilinx的仿真库,可编译VHDL和Verilog语言的所有常用仿真库。 

具体运行方法如下: 

1。启动Modelsim,运行Macro菜单的“Execute Macro...”命令,指定我所编

写的tcl文件。 

2。根据自己的版本选择ISE4.x还是ISE5.x,然后选择Verilog还是VHDL,单击“compile”即可。 

关于信息和帮助信息请参考"EDACN"和"help" 

25、问:高手关于两个定点数乘法的问题. 

答: 

定点数有一个隐含的小数点,你用0010表示1,那么小数点就在1和最后一个0之间,定点格式为3.1(三位整数,一位小数),经过乘法变为6.2格式,小数部分变成了两位。所以结果的100还是表示1。你要想跟前面保持一致,自己右移1位。 

26、DLL应用(VHDL) 

library IEEE; 

use IEEE.std_logic_1164.all; 

entity CLOCK_TEST is

port( ACLK : in std_logic;

DIN : in std_logic_vector(1 downto 0);

RESET : in std_logic;

QOUT : out std_logic_vector (1 downto 0) ); 

end CLOCK_TEST; 

architecture RTL of CLOCK_TEST is

component IBUFG port ( I : in std_logic; O : out std_logic);

end component;

component BUFG port ( I : in std_logic; O : out std_logic);

end component;

component CLKDLL port ( CLKIN : in std_logic;

CLKFB : in std_logic;

RST : in std_logic;

CLK0 : out std_logic;

CLK90 : out std_logic;

CLK180 : out std_logic;

CLK270 : out std_logic;

CLKDV : out std_logic;

CLK2X : out std_logic;

LOCKED : out std_logic);

end component; -- Glock signals 

signal ACLK_ibufg,high,low : std_logic;

signal div_2, div_2_design : std_logic;

signal ACLK0, ACLK0bufg : std_logic; 

attribute CLKDV_DIVIDE: string; 

attribute CLKDV_DIVIDE of ACLK_dll : label is "4"; 

begin

ACLK_ibufginst : IBUFG port map ( I =>ACLK, O => ACLK_ibufg );

ACLK_bufg: BUFG port map ( I =>ACLK0, O =>ACLK0bufg);

DIV_bufg: BUFG port map ( I =>div_2, O =>div_2_design);

ACLK_dll : CLKDLL port map ( CLKIN =>ACLK_ibufg,

CLKFB =>ACLK0bufg,

RST =>low,

CLK2X =>OPEN,

CLK0 =>ACLK0,

CLK90 =>OPEN,

CLK180 =>OPEN,

CLK270 =>OPEN,

CLKDV =>div_2,

LOCKED =>OPEN ); 

low<= '0' ; 

process (div_2_design, RESET) 

begin 

if RESET = '1' then

QOUT <= "00";

elsif div_2_design'event and div_2_design = '1' then

QOUT <= DIN;

end if; 

end process; 

END RTL; 

 

26、问:PFGA验证问题 

答: 

A)功能仿真对,时序仿真不对,或者说功能仿真和时序仿真都对但是当下去的结果就是不对的事情是很多的,这时候就要找找问题是板子的问题还是程序的问题。如果是程序的问题,首先就是换换code styel试试,优化一下设计,去掉冗余设计。还是不行的话,就只好从系统设计上考虑了。 

B)一步一步调,先调电源->加载->复位->接口->主数据通路->全功能->全面验证和优化。不要着急的说。 

C)如果仿真正确,约束正确,还遇到这种情况,一般是出在程序本身!我最近也在用FPGA做验证,也碰到类似的问题,最终确定还是程序的问题。 

27、问:process的敏感信号列表是不是要将process中所有的输入信号都列出来,还是只列出来clock,reset,enable就足够了,列得太多是否会出现时序混乱? 

答: 

时序逻辑clk、rst就够了。组合逻辑,全部要加。 

28、问:ise的工程是怎样再导入xps的? 

答: 

在xps中将工程设置为子模块,options-->project options-->hierarchy and flow 

再将设计导出,tools-->export, 

在ise中设计完, 

再在xps中,tools-->import, 

29、问:bmm文件ise有生成吗?还是需要自己写? 

答: 

添加的bmm文件在你的edk工程目录\implementation中找,ise工程用的就是这个edk工程的bmm文件。 

30、问:"在代码中例化一个IBUF+BUFG的形式"这个具体怎么弄啊? 

答: 

就是调用原语啊! 

可以参考ISE自带的语言模板中的例子~ 

31、问:XILINX的ISE和EDK有什么联系和区别?分别做什么用的? 

答: 

1、Xilinx的FPGA开发使用ISE,EDK是针对FPGA内嵌入式处理器的开发工具,包括硬的PowerPC和软的MicroBlaze,PicoBlaze等,其中硬的处理器只在V2Pro中使用,但是软的处理器可以在Spartan等低端器件中实现。 

2、做FPGA内嵌入式CPU的开发,一样需要ISE。 

3、CPU和Logic是协同的功能,也就是一般控制部分用CPU,复杂的运算用Logic,而不是同样的部分既要用CPU,又要用Logic去实现。Logic的设计肯定用ISE,EDK负责软件部分的设计和编译,然后将目标码插入到Logic部分生成的bit位流中,最后再下载到FPGA中,调试软件的时候可以利用XMD。现在EDK中可以直接调用ISE,具体你可以看EDK的用户文档,在Xilinx的网站或者数据光盘中应该可以找到。 

32、问:怎么在片内配置一个存储器RAM啊?大概2KB大小 

答: 

A)如果用ise,较方便的方法是使用core generator产生。另外,也可以直接调用元件原语,通过元件例化产生。core generator很容易上手的,产生core时,看看对应core的datasheet。 

B)用HDL写一个出来,然后用synplify综合。当然要写成同步的,这样综合器

会使用block ram来生成。不然的话,会占用很多slice资源 

33、问:使用ise&chipscop,版本均为7.1i03,verilog语言,综合用xst。分别生成一个含两个36位port的icon,以及ila,vio。vio只有输出没有输入? 

答: 

XST给出的那两项警告不会对设计造成影响。请仔细检查你例化VIO模块的时候端口匹配中连接control的信号名称是否跟ICON上完全对应上了,检查一下XST报告中是否有“does not match port size”的警告信息,检查一下跟VIO有关的信号有没有出现“assigned but never used”或者“used but never assigned”的警告。 

33、问:modelsim下的xilinx库 

答: 

A)1.运行modelsim,在library窗口右击,选NEW,选library,在对话框中选择a map to an existing library,选择存放xilinxcorelib的目录(\E:\Xilinx\vhdl\src\xilinxcorelib),加入此库就行了。 

2.在modelsim6.0下可能找不到此库,原因可能是这个文件架下面没有一个叫做_info的文件,没有这个文件,这个库就不能用,所以你可以找找别人把这个文件拷到这个目录下就行 

B)ise6.2下面直接就可以把调用的库文件编译到modesim的仿真库当中,不用在modesim中手动建库了吧,在ise6.2中,只要先点击你的器件名,下面有一个筐中回出现compile HDL simulation libraries ,选中它,点击右键选中property,在选择simulator target: modesim SE ,确定之后,双击compile HDL simulation libraries,它就自动编译了. 

34、问:ISE中是否可以把自己代码封装成一个IPcore? 

答: 

使用PlanAhead中的将你的设计转换为PBlock,然后加上约束条件再export就可以了。 

以后你要用的话,只需要import这个PBlock就可以了。 

这样做的核是硬核。当然咯,你也可以使用.edif + .ncf这样就是固核,如果

你要求灵活性最高的话,就使用RTL + constraint这样就是软核了。 

35、问:请教vhd语言中如何插入clock buffer or DCM?格式是什么? 

答: 

A)生成一个dcm,用component语句加进来就可以了 

B)先使用 ISE 自带的 core generator 产生 DCM(数字时钟管理单元)core。然后 进行元件例化。ISE的设计模板中有一些参考代码~ 也可以看看~ 

36、问:Synplify和ISE怎么结合? 

答: 

"If you keep the *.ncf file in the same directory as wherethe *.edf file is, Xilinx ISE would automatically pick it up during P&R. You could also include it like a UCF file into the project, when you add the edif file into the project." 

37、问:我怎么定义FPGA的管脚啊?怎么把顶层文件定义的输入输出和FPGA管脚对应起来? 

答: 

A)调用ISE里的PACE编辑器可以实现引脚的指配。 

B)加入ucf文件了,進行對pin和管腳拖放就可以了,最好自己慢慢摸吧。 

38、问:输入的频率为40M,输出为32M.占空比为1:1. ? 

答: 

A)40/32=5/4=1.25=(5/2)/2;先得到2.5分频 然后得到1.25分频的 其他的小数分频自己想办法 

B)例如想要把40M CLK1 分频得到 7M CLK2的时钟 

5< 40/7 < 6 

所以 m + n = 7 

5m + 6n = 40 

得到M=2,N=5, 

在clk1的40个周期内,有2个5分频和5个6分频,得到clk2. 

上面的例子恰好在一帧(40个周期)内得到m,n的整数解,如果得到m,n的解为小数,可以增加帧数目使m,n为整数。 

BBS论坛

湖南铁路科技职业技术学院 BBS论坛 姓名龚涛 年级2009级 专业软件 系(院)信息技术系 指导教师吴廷焰 2011年 1 月 5 日

目录 一、绪论 二、系统需求规划 三、功能模块与数据库设计 四、数据库设计 五、重要代码 六、结束语

一、绪论 1.BBS的发展背景 BBS是在1992年底随着国际互联网的开始流行而迅速发展起来的.互联网的产生使得以前只能在本地访问的BBS可以被全世界随意访问, 这对大多数人来说是极具诱惑力的.BBS 是一个有多人参加的讨论系统,在BBS上大家可以对一个共同感兴趣的问题进行讨论,自由地发表自己的意见与观点,并且能直接与其他的人进行沟通.按照网站的性质以及服务对象来分,迄今有以下几种类型:一是校园BBS.校园BBS作为主要的集中地,在BBS领域具有举足轻重的地位. 由于校园BBS的繁荣,吸引了很多的社会人士参与.二是专业BBS.一般是由各个著名的大公司组建,人们可以利用其交流自己对商品的看法,更为重要的是可以解决商品使用中的问题.三是商业BBS.它是由ISP建立的BBS站点,这类BBS站点各种信息比较多,内容相当丰富. 四是小型局域BBS.一般是由个人或小型集体建立,为小范围信息交流服务. 五是业余BBS.一般由BBS爱好者个人利用一些免费空间办的BBS,目的是为广大网友提供一个在某些专业上的交流场所.六是新闻媒体BBS.是由新闻媒体网站设立的,为广大网友提供对新闻信息进行评论、发表意见和观点的场所. 随着互联网事业的蓬勃发展.BBS,这个具有强大实时交互功能,信息交流的平台,使人们不再像电视观众、电台听众、报纸杂志读者一样只是被动地观看、收听、阅读,而是可以积极而及时地参与讨论,在了解他人观点意见的同时也可以发表自己的看法. 1.BBS对大学生学习生活的影响 1.1BBS提供了丰富的网络学习资源 论坛是一个经过学习者整理加工过的网络资源汇en集中心,从新闻、学习到娱乐、体育,从文字到视频、音频,BBS汇集了各种形式、内容的网络资源。不少BBS都设有专门的NetResources(网络资源)板块;FTP技术和BT技术的发展更是为BBS站内资源的更新、共享提供了方便。如今,大部分大学的BBS论坛都有相应的FTP服务器,不少BBS还与网络新兴的BT技术、Blog(博客)技术等连接起来BBS水木清华站就有“水木Blog”的栏目)。 1.2 BBS促进了协作学习 基于网络的论坛社区也是各种学习资源组合的场所,为学习者提供了学习环境。作为一个学生参与论坛的网络活动可包括许多种学习的意义,在特定板块内,论坛参与者

社区运营必看:9类帖子让你的论坛人气爆棚

社区运营必看:9类帖子让你的论坛人 气爆棚 很多做论坛/社区类网站的站长或者在大中型互联网公司负责论坛/社区运营的朋友们最关心的莫过于如何让论坛火起来,如何让点击量、回复量双升,人气爆棚? 社区运营 但在实际社区运营过程中,论坛运营人员往往走入一种怪圈:我们自己疯狂的添加内容,疯狂的自问自答,但人气依然少的可怜,即使来了浏览型用户也是匆匆一瞥就关掉了论坛运营人员花大力气写的帖文,别说留下只言片语的回复,就是吸引其花个5秒钟注册个用户都没做到。 何解? 我认为根结还在社区运营人员没有理解社区内容建设的真谛,不知道什么样的贴子才是适合论坛发的帖子,什么样的贴子能吸引用户点进来,而且点进来之后,让他他不得不注册一个账号,并积极主动的回复,非发表点自己的见解不可。 那么什么样的帖子才能达到这样的效果呢,笔者在长期的社区型网站运营过程中总结出以下9类话题,这些话题更容易引起用户的回复欲望,有些话题甚至有一种让用户不得不回的魔力: 1、争议帖

争议帖就是在社会上或者某个业界内非常敏感、非常具有争议的话题,能够吸引大部分用户的眼球和兴趣,而且用户会针对争议的话题自动分为两派或者多派,每个派别都有着强烈的倾诉欲望,希望自己的见解/观点能够说服对方或者压倒其他方。 这样的话题一旦出来,用户一般都会一吐为快,纷纷发表自己的见解,如果自己的见解有人批驳,那更会激发用户持续的发帖、回帖等各种动作。 2、投票贴 投票贴是针对论坛主题行业相关的人、事、物进行的投票。因为用户对同一事物的看法迥异,一个好的投票主题,可以吸引用户纷纷给自己支持的标的投票,为此肯定不会吝惜区区的几秒钟来注册和发表投票。 尤其是当这个投票中有自己最喜欢的人、事、物,甚至投票选项中就有自己、涉及自己的事情、涉及自己公司产品的时候,用户更会毫不犹豫的注册、登陆、发帖、回复。 3、负面帖 负面帖炒作是论坛运营常见的一种手法,负面帖因为涉及到具体的人事物的负面信息,因此能牵动利益相关方的神经;同时负面帖又能满足一些网友的幸灾乐祸心理,更能满足其中一部分网友对特定人事物的唾弃心理,尤其是这个负面新闻的主角如果恰好骗了或者伤害了很多网友的时候。 负面新闻也是传统媒体的经营手段之一。相比无关痛痒的歌功颂德的软文类消息,负面新闻往往更容易引起相关各方的关注,事件中的主角及其竞争对手都会特别关注,甚至各方都会就此事安排一大批水军来意图消弭或者搞大事态,一旦这种情况出现,论坛社区则是渔翁得利,UV/PV双丰收。这也是为什么很多负面帖子删除的生意如此火爆的原因。 4、曝光帖 曝光帖可以满足网友的求知欲,尤其是曝光各种惊天内幕的帖文更容易受到网友热捧,小道消息、鲜为人知的内幕总是被人津津乐道,论坛则是这类话题的温床。君不见各类大小论坛中充斥着“惊爆”、“惊天内幕”之类的帖文,先不管其真假,这些帖子的点击率往往在众多帖文中脱颖而上。 用户看了这些帖子,不管真假,网友总想也发表一点自己的看法,或惊叹,或质疑,或分析,或引申……那么我们论坛的点击率和回复量就会随之攀升。 5、情色贴 食色,性也。关于情感、色情之类的文章、图片、话题,在任何论坛都能引起网友的兴趣。这也是为什么各类门事件,尤其是艳照门之类的情色事件层出不穷而又历久不衰的原因。甚至在论坛运营从业者之间流传这样一个经典的传

谈谈我做论坛推广的一些心得体会

知道seo是什么的朋友对于论坛推广一定不陌生吧,说到论坛推广,网上的说法有很多种。利用论坛推广可以短时间为网站带来可观的流量,也可以留下大量的外链为seo打下基础,也可以提高品牌曝光率以扩大品牌知名度。但论坛推广也绝不是一件简单的事,要有足够好的文字功底、耐心和技巧,着实令人头痛的一件事。这里说一下武汉SEO写论坛帖子的一些认识和体会。 1、回复可见。某些论坛可以设置回复可见,这给推广工作提供了很大的方便。发一个贴留下悬念,悬念部分设置回复可见,这样的帖子只要够悬够吸引人,完全不需自己顶贴维护,可以做到一劳永逸。 2、资源共享。比较适合专业性论坛,上传资料里面可以带网站和网站的宣传资料,这样一传十,十传百,宣传效果也就达到了。有些论坛不可以上传资料而且管理比较严格不能带链接的可以把自己的邮箱公开出来,叫有需要的直接邮件联系,然后邮箱设自动回复,把所需资源和推广宣传资料发过去。 3、顶别人的帖子。搜索网站或要推广的内容的相关话题,真诚的给别人回帖,解答疑难。当然回复内容要融入推广,最好能抢到沙发,因为这个位置紧靠主贴,更容易被网友关注。 4、移花接木。论坛推广需要大量的文章,有些时候很可能会没有东西可写,这时候可以试试把一个论坛的热帖或者精华帖转到另一个论坛,推广内容可以把文章稍微修改一下价进去,也可以在签名和顶贴种出现。、打擦边球。利用人们的好奇心,跟色情打擦边球,这不免有骗人的嫌疑,但效果还是有的。本人曾经赚过一篇“第一次做鸡的经历”,给网站带来几百个ip,五十几个注册用户。 5、问答形式。论坛本来就是用来给网友交流的,互动性很强。可以求助一个话题,请网友帮忙解答,当然真正目的是为了用马甲来回答该问题,并炒作起来。这样要推广的内容在顶帖中出现,审核也不至于那么严格。 6、利用热点话题。我们常说的时事营销或者新闻营销有其很大的优势,人们对一些新闻人物、热点话题往往会很关注,甚至很关注一些小道消息。利用人们急于知道这则新闻发展趋势的心理,我们可以大作文章,有个做推广的朋友写了一篇关于严凤娇的文章,流量两天就破万了。当然这要将时事话题与要推广的内容结合起来还是有点难度,更一套平时多留意新闻话题,有敏锐的新闻洞察能力。 7、标题要新颖。做论坛推广首先必须是标题党,标题是网友看见帖子的第一印象,在标题里适当增加一些相应的特殊符号,让标题在帖子列表里突出显眼。一个够雷、劲爆的标题能让更多人点击,如果内容质量够高、够新颖,在短时间内就能风靡各大论坛,得到无数转载。你的推广贴的链接以及标题一定要和你发的内容相关,主要目的是带流量和增加外链,但是也要注意口碑及用户感受,不要为了推广而推广。 若想了解更多的seo知识请登录:https://www.wendangku.net/doc/d72078295.html,

Discuz论坛帖子美化

为了方便大家对帖子的编辑和美化,论坛全面开放discuz代码模式,编辑器默认情况是所见所得,当然你可以自定义 下面是一些常用的discuz代码书写方式,希望在大家发帖的时候学会帖子美化 1.[b]文字[/b]:在文字的位置可以任意加入您需要的字符,显示为粗体效果。 2.[i]文字[/i]:在文字的位置可以任意加入您需要的字符,显示为斜体效果。 3.[u]文字[/u]:在文字的位置可以任意加入您需要的字符,显示为下划线效果。 4.[align=center]文字[/align]:在文字的位置可以任意加入您需要的字符,center位置center 表示居中,left表示居左,right表示居右。 5.[color=red]文字[/color]:输入您的颜色代码,在标签的中间插入文字可以实现文字颜色改变。 6.[SIZE=数字]文字[/size]:输入您的字体大小,在标签的中间插入文字可以实现文字大小改变。 7.[font=隶书]改变字体[/font] 8.[FLY]飞翔的文字[/FLY]:在标签的中间插入文字可以实现文字飞翔效果,类似跑马灯。 9.[MOVE]移动的文字[/MOVE]:在标签的中间插入文字可以实现文字移动效果,来回飘动。 10.[FLIPH]左右颠倒文字[/FLIPH] 11.[FLIPV]上下颠倒文字[/FLIPV] 12.[GLOW=255,red,2]文字[/GLOW]:在标签的中间插入文字可以实现文字发光特效,glow 内属性依为宽度、颜色和边界大小。 13.[BLUR=文字宽度,方向,浓度]模糊文字[/BLUR] 14.[SHADOW=255,red,2]文字[/SHADOW]:在标签的中间插入文字可以实现文字阴影特效,shadow内属性依次为宽度、颜色和边界大小。 15.[url=网址]网址[/url] 或者[url=网址]文字[/url]:有两种方法可以加入超级连接,可以连接具体地址或者文字连接。 16.[img]图片地址[/img] :在标签的中间插入图片地址可以实现插图效果。

如何写出一篇优秀的论坛推广帖子

如何写出一篇优秀的论坛推广帖子 随着电子商务的发展,软文营销的需求量与日俱增,软文的质量要求也变得越来越重视。一篇好的论坛推广软文,需要达到推广的目的才算是好的,不然,再美丽的文字无人问津也是一场梦。 在较早前的文章就阐述过有效软文的巨大作用:一是传播推广品牌;二是结合SEO,能迅速优化排名,抢占搜索引擎有利位置;三是引发事件,产生病毒扩散效果。如何把软文的力量发挥的淋漓尽致?如何让软文营销的主体达到最好的效果?这归根到底,还要从如何才能写出一篇好的论坛软文说起。 第一、选择读者感兴趣的话题 要保证你即将写的软文是有灵魂的,不是零碎的文字加条广告链接进去就行了。这些软文先不要说给读者了,恐怕在连网站的站长一关都过不了,那就更不用幻想会出现被读者点击和软文被广泛传播的下文了。其次,话题要具有独创性,不要说如有雷同,纯属巧合。这样软文和你ctrl+c和ctrl+v的简单动作有什么区别?笔者坚持原创性,坚持用独特创意的话题创作。不可否认,只要对读者有帮助的,对他们有一定意义,这样的内容读者看了有反应,读者认同你的文章,还怕软文没有效果吗? 第二、吸引人眼球的标题 确定软文的话题后,就要为其想一个让人感兴趣的标题。好的标题也是成功的一半。要把握网民的心理和习惯,同时整合、锤炼文章的主要内容和亮点来拟标题。也许,大家会想到了百试不厌的“标题党”那样的方式。最讨厌就是标题党,个人认为只有文章没有实际内容的人,才会采取这样的方式去赚钱读者的点击。更悲剧的是,即使读者点击了,发现上当了,你软文再好读者也会有被欺骗的心理,不会认同文章。所以,标题党的做法是自寻死路。 关于标题的拟法,在较早前的《网络营销文案标题写作七大原则九大技巧》里提到五大原则和九大技巧。但是论坛软文也有其特殊性,笔者的经验是把标题简洁化、清晰化、关联化。站在专业的角度,把标题用简洁干练的语言清晰的、全面的诠释出来,感兴趣的读者自然会点击。真实、不做假,读者才会接受,读者接受这就让你的软文成功一半了。总之,吸引眼球的标题的是论坛软文的重要部分之一。 第三、流畅的阅读感 要达到流畅的阅读感,需要一定的文字功底和符合网民习惯的浏览排版方式。看到一篇文章,如果排版是乱七八糟的,阅读起来是要打起十二分精神的话,估计不会有多少人会看下去,太费劲了。建议排版可以采用图文结合的形式,毕竟现在是读图时代,图片赚取的眼球要比文字来得要快。还有软文文字需要把握读者的阅读习惯,简单明了,表达有连贯性,符合网络快速阅读习惯。语言的表达方式可以根据软文的内容需要,可幽默可严谨。要注意的是,不要一开始就不要扯上你的目的,不能让人一眼看出你就是个打广告的。把握好阅读

BBS论坛开发经验总结

BBS论坛开发经验总结 1引言 1.1编写目的 总结完成本项目所获得的经验和不足,为我们以后的开发项目和进一步的学习提供了良好的素材。本文档的编写目的是:详细定义的总体功能,详细说明系统功能,划分程序基本结构,各程序名称和功能,以便于系统详细设计和编程。 1.2背景 现实生活中的交流存在时间和空间上的局限性,交流人群范围狭小以及间断的交流不能保证信息的准确性和可取性。因此,用户需要通过网上论坛的交流扩大交流面,同时可以从多方面获得自己所需要的最及时的信息。论坛又叫BBS,全称是Bulletin Board System,中文意思是“电子公告板”,它是Internet上的一种交互性极强的、网友喜闻乐见的电子信息服务系统。它为网民提供一块公共的电子白板,每个用户都可以在上面书写、发布自己的信息或提不同的意见,以便与其他用户进行交流和讨论。所开发软件名称:BBS管理系统 论坛的名称:BBS管理系统 项目类型:大学论坛 项目开发时间:2011年3月18号——2011年6月15号 1.3定义 游客 所有访问论坛,尚未登录的人。

会员 申请注册并提交成功的人。 版主 具有发布版块公告,管理版内帖子,删除帖子/跟帖的权利。 系统管理员 具有宣布论坛开放/关闭注册,帖子的批量删除,版块管理,用户管理权利的总版主。 用户 此“用户”泛指所有的会员和游客。 管理人员 此“管理人员”泛指版主和系统管理员。 注册 游客访问论坛按系统规则申请注册。 登录 会员按系统规则登录论坛。 退出 已登录用户退出登录状态。 浏览主题 游客及登录的用户通过此业务按系统规则浏览论坛上各版块的主题 用户(包括所有会员或游客)通过此操作可以浏览自身权限许可范围内的论坛的板块列表,主题列表,以及每一个主题。 发布主题 会员通过此业务按系统规则进行主题的发布。 回复主题 会员/游客通过此业务按系统规则进行主题的回复。 修改个人资料 会员通过此业务按系统规则修改自已在注册新用户时所填写的个人资料或是已经通过此业务修改过的个人资料。 管理版内帖子 版主通过此业务按系统规则在自已管理的版块内对帖子进行锁定,解锁,置顶,取消置顶,加为精华,解除精华,删除帖子等操作。

论坛活动案例

论坛活动案例 【篇一:论坛活动案例】 论坛营销1:o2o 巧用论坛,推广o2o模式 o2o模式,即online to offline,就是将线下商务与互联网结合在一起,让互联网成为线下 交易的前台,这样线下服务就可以用线上来揽客,消费者可以用线 上来筛选服务,还有成交可以在线结算,很快达到规模,随着互联 网本地化电子商务的发展,信息和实物,线上和线下之间变得愈加 紧密。o2o让电子商务进入了一个新的阶段。团购就是o2o的冰山 一角。 这种模式现在也越来越多的被采用,在节期间,为了在互联网上推 出一款名叫五色素食点心,让消费者透过互联网购买,于是一场论 坛营销开始展开。 帖子内容:在网易上看到的五色点心,女朋友想吃,但不知道哪里 能够买齐这五种的,请帮忙提供以下线索。一开始以为很容易找到,但是想不到以前经常吃的水晶饼都找不到了,十万火急,请大家帮 帮忙~~~(请付上产品图片) 这个活动首先在网易上发了一篇关于五色素食的帖子,然后把帖子 上面的内容在广州各大论坛上公布,这样的攻势很快吸引了大批观众。推广五天之后,访问次数达到5152次,回帖共45帖,水晶饼 大卖,效果大大超过预期值。 案例分析:虽然效果偏离预期,毕竟当初推荐的是五色素食,结果 其中之一的水晶饼大卖,带来了预料之外的;这篇帖子是一个男朋友 为女朋友找点心为主题,得到许多女生回帖,从一定程度上许多女 生心理上形成落差,这会促使一部分男性去购买。水晶饼是传统小 吃之一,勾起了许多80后的回忆,对促进销售起到一层心理上的促进。总的来说,这次推广是十分成功的。 论坛营销成功案例2:芙蓉姐姐芙蓉姐姐原名史恒侠(据说也叫林可),又名火冰可儿、清水芙蓉、水媚妖姬、黑桃皇 后,1977年7月19日出生于陕西省武功县一个普通职工家庭。陕 西工学院机械系学生。 从2003年底开始芙蓉姐姐以游学清华、北大的边缘人身份在北大未 名论坛、水木清华bbs发贴。尤其在2004年开始在水木清华bbs 发表大量照片,名人效应迅速被网络扩散放大,进而被平面媒体相 互报道。

如何写好一篇论坛推广帖子

如何写好一篇论坛推广帖子 如何写好一篇论坛推广的帖子?其实和如何写好软文一样!那么怎样写好成为了广大企业主编辑的一大热点,泊凡科技为大家分享部分技巧,欢迎大家交流补充serv@https://www.wendangku.net/doc/d72078295.html, 一:选择一个合适的题材 我想这方面无需要太多的文字去说明了。一个合适的题材,跟你申请加精的成功率也是直接有关系的。你的帖子首先要成为精华帖,才有可能出现在各个热门的页面。选择题材要考虑这几方面:https://www.wendangku.net/doc/d72078295.html, 1、与自己的专业知识或熟知的行业有关 只有你自己在行的,你才能写的得心应手,而且字里行间都能够体现你的专业性,从而加深访问你店铺的访客对你专业的肯定! 2、迎合大众,题材要对大家的胃口 这一点是非常重要的!昨天一个朋友问我,要怎么写一个好的帖子,我就这么跟他说了。因为只有大家都喜欢的,你的帖子就更容易被大家所接受,从而提升帖子的点击率和回复率。 3、帖子要实在,能对看帖人起帮助作用 那些只知道浮夸的帖子,短期内可能可以聚合一定数量的人气,但是久而久之之后,别人也许就会反感那样的帖子。一篇好的帖子,内容实在是最为关键的。

内容真实、有效,会有很多人将你的帖子收藏反复查看,甚至主动分享给自己的朋友。 二:标题要吸引、有一定的煽动性 别人在点击进入到你帖子之前,是通过什么?唯有标题! 标题的好坏,直接会影响到帖子的点击率。 标题,首先是对内容的浓缩。将很长篇的帖子浓缩成短短的一句话,是非常有学问的。 建议大家多研究一下那些点击率高的帖子,标题是怎么写的。但是强烈建议大家不要把标题写的太过于狗血,标题写的太过,点击进你的帖子看了一部分之后会觉得你的帖子华而不实,随手关掉的。 怎么样写出精华帖,即“写好-帖子”,而非“写-好帖子” 一、行文要简练。论坛一般是大家互相交流、学习的地方,大家发表帖子的目的就是要把自己的经验、感受、教训、心得告诉大家。所以,你只要用简洁明了的语言来表达就行了,不必让你的帖子变得多么深奥。在社区里,“通俗唱法”比“美声唱法”效果更好,更受大家欢迎。 对应“症状”:叙述烦琐,费话较多。 二、行文尽量规范。虽然拍拍是纯粹的网络产物,但在社区里发表帖子最好用规范的汉语,网络语言尽量少用。因为你不知道会有谁来光顾你的店,不知道会有

论坛贴吧发布教程

论坛注册及发布的流程 一、论坛注册 ?论坛注册(以天涯论坛为例) ?点击或在网址中输入https://www.wendangku.net/doc/d72078295.html,/进入天涯论坛首页。 ?点击右上角注册字样,进入注册页面 ?将注册页面的信息填写完整,然后点击立即注册提交申请。

?例如下面填写内容 激活账号,点击马上进行手机验证。(有些网站是邮箱验证,有些可以不用验证,一般邮箱验证的比较多,后面有介绍,手机验证只是个别的网站需要。) 填写所在区域(一般默认)输入手机号码,点击确定。

?输入手机号码后显示如下图所示,按提示发送信息。 ?发送短信成功后显示,表明账号激活成功,可以使用了。进入论坛就可以发帖了。 为了增加账号使用次数,请点击个人中心,将资料填写不低于90%,这样此账号可以长久使用,并获取相应特权。

?邮箱验证的方法,一般填写完注册资料后,点击提交会出现如下画面 这个时候登录注册的邮箱有看到下图提示,点击链接,按提示即可完成验证,这样完成注册,账号也可以使用了。 填写帐号表格

◆帐号注册成功后,确证一下个人资料以及头象等设置是否完整(资料设置至少要 90%); ◆确认无误后将注册好的帐号、密码以及注册时用的邮箱、密码填到帐号表格中; ◆请按照表格格式来填写,包括注册人,注册时间等,以便我们统一管理; ◆如果有什么问题或者要特别标注的请在备注栏填清楚,尽量不要有颜色填充,这样 别人不明白是什么意思,如果非要用到请标明颜色代表什么意思! 谢谢各位的配合! 二、论坛帖子的发布 ?以天涯为例,用账号登录天涯论坛,可以看到有个菜单发帖,点击发帖即可。 ?点击发帖出现下面内容

如何在论坛帖子中插入背景音乐或者视频

如何在论坛帖子中插入背景音乐或者视频我在浏览今天老灶发布的推荐歌曲帖子页面时发现没有插入背景音乐播放代码,仔细研究下原来帖子编辑器里没有内置这个工具按钮,那么我们怎么办呢? 第一步:大家注意在你发布帖子和编辑帖子的时候你的电脑显示器右边有如下内容: Html 代码可用表情可用Discuz!代码可用[img] 代码可用这个内容说明我们的帖子是支持HTML代码的. 第二步:那么我们需要在默认表情下的选择项目里把"启用Html 代码"选项打上勾,如图所示: 第三步:将帖子的编辑模式切换到"Discuz!代码模式",如图所示: 第四步:在你需要插入背景音乐播放器的地方添加如下代码: ,如图所示: 第五步;保存您的帖子即可.完了在您帖子的阅读状态就会出现一个宽度是200个像素,高度是30个像素的小播放器界面,如图所示: 特别说明: 歌曲的格式可以支持wma/mp3/rm三种格式,歌曲的地址可以在https://www.wendangku.net/doc/d72078295.html,里面查找,但是请记得一定要先试听,选一个能听而且网速快,缓冲时间短的歌曲地址,以便网友在阅读您帖子的时候.一打开背景音乐就响起来. 怎么样,赶快去试试吧! PS:如果有爱好网页设计的朋友欲了解更详细的网页背景音乐播放器代码的插入方法请阅读以下页面https://www.wendangku.net/doc/d72078295.html,/web/1/viewspace-2003[网页或者论坛帖子阅读页面背景音乐播放的插入代码] 引用: 代码:“歌曲地址” 表示音乐地址请注意:这个不是播放器而是让背景音乐每次刷新都可以自动播放方法二要不就用以下的方法第一步,加播放器的代码(不用别人做的JS播放器),我只要最简单的。其实要说最简化的播放背景音乐的代码,则下面的代码是最简单的了呵呵,我用的是:loop="-1"表示无限次循环播放,可设置播放次数,用具体数字代替即可,比如我希望它播放两次,则loop="2" controls="ControlPanel"这个控制选项可省略width="0" height="0"表示隐藏播放。想设置播放器的大小,设置值即可,比如width="480" height="25" 我是放在文章里的,所以直接把文章切换到代码模式粘贴上刚才的代码就可以了。第二步,实现连续播放多首歌曲播放器会弄了,用百度或者搜刮找到歌曲的地址,替换代码中“背景音乐网址”就可以播放歌曲了。但是这样只能是一首歌曲啊。没关系,记得当初用winamp或者千千静听的时候不是有个播放列表吗?对,用m3u格式。新建一个记事本文档,在里面写上如下代码保存为“任意名.m3u”:需要更多歌曲可重复“……”代码嗯,然后?把保存的文件(例如musci.m3u)上传到你的个人主页或者随便其他水木地方(我是传到googlepage的)。再把m3u的IE地址放到第一步中的“背景音乐地址”处就可以实现连续播放的目的了。不信,试试看。因为当你打开博客的时候,虽然不能自动播放,但是播放器首先要加载第一首歌曲,当遇到错误无法识别的歌曲地址时就会自动跳转到第二首而播放第二首歌曲。不过这里需要注意的是,第二首歌曲的地址里不能带有汉字,否则跳转到第二首歌曲的时候它也不会自动播放,第三首,第四首歌曲之后就没限制了,只要歌曲地址对就行

论坛发帖规范

新手怎么样来提高论坛做外链的效率 利用论坛给网站增加外链是一个老生常谈的事儿。虽然百度对论坛外链进行了降权,但其优点在于以量取胜。所以还是很多SEO从业者的挚爱。办事情要讲究效率,在最短的时间内获得更高质的外链,这可得讲究技巧了。每位SEOer肯定都有自己的一套方法,今天分享一下笔者是如何做论坛外链的。 站在一个新人的角度,首先应该学会如何去寻找我们的目标论坛。何为目标论坛?即跟自己网站相关性强的论坛,这样获得的外链更优质。 第一步:寻找目标 打开百度利用百度的高级搜索命令:intitle 或者inurl。我想后者来得更直观一些。比如:inurl:bbs seo 搜索出来的结果全部是URL中包含BBS且标题中包含了SEO的论坛。这些网站产生的外链对于关于SEO的网站来说是最好的。 第二步、分析论坛数据 手中有了一大把论坛网址应该怎么去操作?首先应该去分析它的数据,客观的反应该论坛的质量。1、快照、收录量:直接反应了论坛的权重或者说蜘蛛的抓取频率2、论坛的活跃程度论坛是否受欢迎,是不是一个死论坛3、帖子的质量帖子的质量很重要,水贴百度就算收录了不久也会删除。 第三步、选择和执行 通过第二步的分析,选择一些快照新、收录量相对较高、活跃程度较大的论坛进行注册。效果最好的还是发主题帖,如果是回帖的话就要去抢沙发。如果一篇帖子回复已经翻页了,你可以不必去回复。因为搜索引擎几乎会抛弃掉。就算抓取到了其质量已经大打折扣,不必在这个上面浪费时间。强调一下:发帖时候一定要遵守版规,不一定非得去文章中弄一个锚文本或者URL,签名中的链接和文章中的效果是一样的。属于内页。 小技巧:在注册论坛的时候可以先观察一下论坛签名的情况,随即翻几个帖子,如果都没签名,证明该论坛不能带签名或者是需要很高的等级。在此你可以选择放弃。另外如果觉得注册麻烦,笔者推荐使用按键精灵制作一个自动注册机。这样就大大提高效率了。 参考文献:郑州妇科医院

论坛发帖的6条有效的实用技巧

论坛发帖的6条有效的实用技巧 做推广,大家都会先想到论坛,权重高、用户活跃度高,特别是针对于做产品的亲们,广告打的好,响应是很快的,当然肯定得是人气帖啦!事实上真正会在论坛发帖的人并不多,能把广告神不知鬼不觉的就植入到帖子里的都算得上是江湖高手了,而且效果一般都很不错。但是,如何更有技巧性的去各大论坛发帖,让自己的帖子带来一定的价值还是很有必要去学的。今天,废话不多说,直接进入主题,如何有效的发帖? 一、人气 论坛的人气是很重要的,一篇好的帖子能不能大量曝光,一方面是内容,一方面是贴吧的人气。那我们如何来筛选人气论坛,找到自己想要的论坛呢? 1.知名行业网站。 2.网址导航 3.文章主题 根据自己的目标群体定位去查找相关的适合自己发帖子的人气论坛,通常我们可以从每篇帖子的平均阅读量、顶帖量就可以判断出这个论坛的活跃程度,然后选择人气高的作为自己的下手目标。一定要选择跟我们产品相关的人气论坛,比方说你做母婴用品的,你就要找一些宝妈群体会去的论坛。用心去找能找很多,就是要花一点时间。目标论坛不一定越多越好,要量力而行,视自身的人力、物力而定。否则太多的论坛,反而应付不过来。最关键的是用户群要精准,行业相关性的论坛。 二、账号

注册多个账号,首先要自己顶贴,增加人气值,还可以养号,好处多多。 三、签名 个性签名有几种形式,每一种都简单介绍一下。 1.文本签名档:不允许有网址,只能文字。 2.图片签名档:比较适合企业做产品的,因为图片的抢眼度还是比文字要高很多的。 3.链接签名档:效果最好,如果有商城或者企业网站之类的,效果还是很好的,可以获取不少的流量,提升网站权重。 个性签名根据每一个论坛的级别不同是有功能限制的,有的论坛的等级和积分不够是暂时无法设置的。目前,大家最为熟知也较为常用的论坛是百度贴吧,权重还是很高的,在这里面打广告,没两把刷子怕是秒删,要么就进黑屋、封ID,当然一般初犯还是会给与警告的,但这不是重点,重点是之后我们该如何操作发帖做推广。 四、新人发帖 不同的论坛,对于新手发帖的要求不同,具体按照论坛操作提示来做就好了。如果可以的话,建议每周去发2篇高质量帖子,前期要么就不要打广告,要么就根本看不出来含广告。 认真回复每一个帖子,即便不能留下联系方式,楼主和用户都会注意到你,要先让自己成为这上面的名人。让看到你回复的内容的人感觉加你会获得更大价值,他就会主动加你。回复内容一定要专业、很真诚的分享、给出自己的建议。定下心来,坚持回复每一个有针对性的帖子,给予回答。

如何知道发帖人信息和论坛帖子IP地址!!!

如果在公共场合共用同一IP的,如网吧或商务中心大楼等机构,但结合发贴时间和现场的摄像头和其他技术手段也容易定位到哪个人,只是更复杂一些,由于涉及个人隐私,现在除了发帖人和网警,任何一个人都查不到网站网友的注册IP和常用IP!如果真正涉及违法、违规网络事件,网站会配合公安机关查询发贴人,但网站本身不具有查询到确定某人的权利和能力! 还有些网友会问我,帖子已经删掉了,还可以查到吗,如果不是匿名的当然可以查到,因为他发帖肯定要注册ID 有ID就会有注册信息,所以只要网络存在的就必须通过IP来发送,有IP就一定会用地址,无论是家庭的还是网吧的,我也碰见过很多次,发帖人是在网吧发帖或者一个公共场所来发帖,现在大部分餐厅,休闲娱乐,场所来发帖,所以很多网友也会用wife发帖子,这样查起来的确比较困难,但是还是可以查到的,我们只可以查到网吧的名字或者餐厅的名字比如网吧的名字是123 就可以查到123网吧,有了网吧的名字,要找到它就非常简单,--9562424--职业查找IP的转载请注明出处!作者Q 有些客户会说,我要找到具体的发帖人,找到网吧没什么用的,不必担心!现在科技这么发达,如果你想找这个人是一定可以找到的,比如网吧,现在都是实名上网,就算不是实名上网,也可以结合发帖的日期时间,通过网吧的监控设备可以查到时谁,既然发帖肯定是了解你的人或者间接了解你的人,调出来照片,相信很容易就可以找到发帖人的个人信息,找到他就很容易了。公共场所的wife就很难追查了,除非你有足够的权利找到发帖人,好了就说到这里,说的太多相信网友们也看不动,我写的比较通俗易懂,如果真的要找到发帖人,可以联系我们,3个小时内就可以找到发帖人的个人信息,因为既然要用网,肯定是要去申请一条宽带,填写的宽带申请表姓名身份证号手机号地址,有了这些信息很容易就可以找到这个帖子的编写者 在microsoft的windows, windows mobile上开发软件一般要使用微软提供得SDK(包括二进制的或源码的MFC等),对于.net 软件更是如此。事实上,严格说源码不开放的系统就是一种封闭系统,windows上有着很多不为人知的API就是一个例子,在windows上开发相同类型软件,永远也不要指望比microsoft做的更好!因此我不觉得microsoft比apple做的更好。apple对它提供的SDK的限制出自商业目的,当然它希望自己能够获得更大利益。microsoft只不过采取不同的策略罢了,如频繁升级系统使得和它竞争的软件出现运行问题而打击对手,当年如日中天Borland C++为什么被Visual C++打败不是一个典型的例子吗?netstat命令是一个监控TCP/IP网络的非常有用的工具,它可以用来获得你的系统网络连接的信息(使用的端口,在使用的协议等),收到和发出的数据,被连接的远程系统的端口,Netstat在内存中读取所有的网络信息。该命令的一般格式为:

论坛帖子策划之内容完整与形式新颖(第一部分)

一日之内点击超10W的帖子策划纪实之二: 内容完整与形式新颖(第一部分) “毕竟西湖六月中,床头摇生荷花缘”之一篇中,讲述了主题确立及标题确定。接下来的第二篇,就帖子的内容和形式作详尽的阐述,并讲解发帖的一些技巧和感悟。 我把这篇文章分为几个章节来写,希望表现的不单单是一个发帖的过程,还想让朋友们,通过这个案例了解一些营销的知识。 营销的核心是交换,拿我们的想法和作品,交换网友的关注。 帖子内容与形式 在“毕竟西湖六月中,床头摇生荷花缘”之一篇中,罗列帖名和帖子搭配有四种结果,大家可以回顾一下。记得,一个资深讲师在一次讲话中提到文章标题的份量要占到整个帖子的70%。这是经他多年的发帖总结出的经验。沿着他的理论向下走的话,以标题党之形式把网友吸引而来,揭来盖一看是一锅烂白菜。也就是内容一定要给力才能吸引人读下去,才能达到传播的目的。 看看另一个资深论坛人士对内容的态度,她说,她的文章写完以后,自己会先看一遍,以局外人的身份审视自己的这篇文章,对于阅读者会起到什么作用。她的这种负责态度是对别人的一种负责,同时也是对自己的一种正面态度。 这样的文章会受到更多读者的青睐,容易在小的范围之内受到一种积极的回应,别人会无意识的记住她写的文章具有很强的可读性,所以会关注她的文章,会关注她这个人,直至关注和帮着向自己身边有需要的人推广她的产品。 现在每个论坛都很重视原创的文章,一旦被确认为是原创,就会多一分吸引力,文章被点亮、加精甚至置顶的可能性就大多了。这些意味着什么,相信每个人都会很清楚。 文案是帖子内容的一部分,创作主旨是写景,写感受。在撰写这段文字前,我拼命的收罗信息,绞尽脑汁。竭力把这篇文字尽量写的唯美,温润,似淡淡月下的美人婀娜身姿,床头的柔声细语,床上的粗浅呼吸。自然生活的摇曳,能产生无限的遐想空间。 小贴士:文章来宋砺剑博客,专注家居网络营销策划、培训,中小企业电子商务运营等方面的研究。转载请保留,谢谢!

论坛手册

论坛编辑手册 (1)编辑-编辑——> (2)编辑-网友——>(3)网友-网友(当然也有编辑和网友对话,但较少) 打盘的最终目的是让网友自由地在业主论坛形成良性循环的互动,编辑只负责引导话题,处理突发事件,维护社区和谐稳定向前发展。未完成打盘工作的编辑,根据未完成工作的量进行惩罚 一、日常论坛维护要求 1 )每个论坛保证日均贴量50个,且必须有主贴发布,禁止全部回帖 2 )每天早上9点前要有早餐贴发布,即有话题性或者讨论性帖子发布,引发网友共鸣 3 )及时消灭论坛零回复,网友发帖要及时回复,可以是代表编辑的官方账号回复,也可以马甲回复。 4 )及时清理论坛不良广告贴(主要可能是装修公司、地产销售等广告) 5 )每天需要保证至少一个精华帖,内容要紧贴论坛,帖子要精编到位,图文并茂,充分保证原创性。 6 )每周至少需要激活一位真实版主,真实版主一周需要发帖,定期进行广告清理等版主操作。 7 )每月策划一场线下(版主聚会)和线上活动(秒杀、抢楼、大转盘等)。 二、日常值班监控内容 1 )版主审批: 今日新版主申请,移交责任编辑处理 2 )帖子审批 3 )新用户发帖监控 4 )广告监控(论坛有害信息清理)

5 )先审后发审批 6 )友情论坛审批 7 )子论坛审批 8 )站长热线、版主俱乐部投诉处理 9 )竞争对手论坛热帖监控 10 )整理成值班报告邮件发送主编及各编辑 三、从菜鸟级如何升级到高手 1.花时间了解项目情况:浏览详情页了解项目最新动态、踩盘(最最直观)、向网友取经 2.学习房地产相关基础知识:如一些常用概念,容积率、物业费计算、楼面价、贷款政策等等 3.了解房地产业内情况、与社会、经济、人文方面的联系等~ 就是对房地产的追根溯源,加深理解,对打盘有好处 4.有意义打盘:杜绝灌水行为,摒弃为帖量而打盘的心态,抱着学习的目的浏览论坛帖子

BBS论坛的设计与实现

设计与实现 学生姓名肖雄 指导教师杨洋 专业计算机科学与技术 学院信息技术工程学院 2016年3月8日 毕业设计(论文)任务书

摘要 通用论坛BBS系统是按照MVC模式进行设计实现的,采用Tomcat作为后台服务器,以MySQL数据库作为数据服务器,使用Struts和Spring进行逻辑控制,表现层用JSP页面显示,用于在网络上为网民提供一个信息发布、获取的场所。实现了查看、发表、删除帖子功能,论坛帖子版块控制,注册用户可以修改自己的个人信息、增加好友与黑名单以及用户之间发送短信息,管理员可以对用户、帖子,版块、用户组、版块权限和用户组权限进行管理。论文从问题的提出、基本设计思想、数据的流向到具体的设计过程都做出了详细论述。 关键词:BBS;论坛;主题;回复

1 绪论 课题研究的目的及意义 设计的首要目的是运用已经学习到的MyEclipse工具和MySQL数据库知识自主实现一个实用的系统,使得现有知识得到更好的巩固,更加熟练的操作MyEclipse工具和MySQL数据库。同时在实现中学习到更多新的知识,能更深入掌握JSP、Java语言,了解软件开发的全过程,为以后的学习与工作打下坚实的基础。 BBS(电子布告栏系统)是在网上提供交流的手段。它可以用于公告、群组讨论、社区内通信、联系、在线聊天、找工作等,利用网络实时、便捷的特点来方便人们的交流、联系和娱乐。 国内外研究动态 进入二十一世纪,计算机技术迅速向着网络化、集成化方向发展。传统的单机版应用软件正在逐渐退出舞台,取而代之的是支持网络、支持多种数据信息(多媒体)的新一代网络版应用软件,而目前网络版软件中似乎存在着两种不同的趋势,一种是称为客户端——服务器的C/S结构应用系统,这类软件具有结构严谨,运行效率高,服务器端压力小,安全性好等优点,被广泛运用于局域网中。而另一种,也是本毕业设计所采用的,是称为浏览器——服务器的B/S结构应用系统,它的特点是在客户端直接采用了功能强大的浏览器软件作为界面,其优点在于软件开发效率高,客户端不受操作平台的限制、也不受地域的限制,网络传输量少,即适用于局域网,更适用于Internet,而且投资小、见效快,用户可以不必进

什么是论坛(bbs)

论坛又名网络论坛BBS,全称为Bulletin Board System(电子公告板)或者Bulletin Board Service(公告板服务)。是Internet上的一种电子信息服务系统。它提供一块公共电子白板,每个用户都可以在上面书写,可发布信息或提出看法。它是一种交互性强,内容丰富而即使的Internet电子信息服务系统。用户在BBS站点上可以获得各种信息服务,发布信息,进行讨论,聊天等等。 词语解释 词目:论坛拼音:lùn tán 英文:bbs 基本解释 1. [tribune]∶对公众发表议论的地方,指报刊、座谈会等医学论坛报 2. [forum]∶供公开讨论的公共集会场所 详细解释 对公众发表议论的地方,指报刊、座谈会等。鲁迅《华盖集·十四年的“读经”》:“论坛上又很出现了一些论议。”萧乾《一本褪色的相册·<鱼饵·论坛·阵地>》:“对青年作者来说,它毕竟还是块发表作品的园地;对整个文艺界来说,它也不失为一个论坛,一个不容轻易放弃的阵地。” BBS论坛 什么是BBS论坛 那么什么是BBS(论坛)呢?BBS的英文全称是Bulletin Board System,翻译为中文就是“电子布告栏系统”。BBS最早是用来公布股市价格等类信息的,当时BBS连文件传输的功能都没有,而且只能在苹果机上运行。早期的BBS与一般街头和校园内的公告板性质相同,只不过是通过来传播或获得消息而已。一直到开始普及之后,有些人尝试将苹果计算机上的BBS转移到个人计算机上,BBS才开始渐渐普及开来。近些年来,由于爱好者们的努力,BBS的功能得到了很大的扩充。目前,通过BBS系统可随时取得各种最新的信息;也可以通过BBS系统来和别人讨论计算机……等等各种有趣的话题;还可以利用BBS系统来发布一些“征友”、“廉价转让”、“招聘人才”及“求职应聘”等启事;更可以召集亲朋好友到聊天室内高谈阔论……这个精彩的天地就在你我的身旁,只要您在一台可以访问互联网的计算机旁,就可以进入这个交流平台,来享用它的种种服务。目前,通过BBS系统可随时取得国际最新的软件及信息,也可以通过BBS系统来和别人讨论计算机软件、硬件、Internet、多媒体、程序设计以及医学等等各种有趣的话题,更可以利用BBS系统来刊登一些“征友”、“廉价转让”及“公司产品”等启事,而且这个园地就在你我的身旁。只要您拥有1台计算机、1只调制解调器和1条电话线,就能够进入这个“超时代”的领域,进而去享用它无比的威力!首先说明一下,上面说的“论坛”一般就是大家口中常提的BBS。在网络以外的现实世界中,“论坛”是指一种高规格、有长期主办组织、多次召开的研讨会议。著名的论坛有:博鳌亚洲论坛,精英外贸论坛……一种后缀修饰词,一般用于企业、个人、网站等用词。比如:80后之窗论坛、生活121论坛、企业论坛、爱看txt小说论坛、论坛会议、百度论坛等。 论坛管理 论坛一般由站长(创始人)创建。并设立各级管理人员对论坛进行管理,包括论坛管理员(Administrator)、超级版主(Super Moderator,有的称“总版主”)、版主(Moderator,俗称“斑猪”、“斑竹”)。超级版主是低于站长(创始人)的第二权限(不过站长本身也是超级版主,超级管理员,administrator)一般来说超级版主可以管理所有的论坛版块(普通版主只能管理特定的版块)。

pkpm钢结构论坛帖子汇总

Okok论坛精华贴——pkpm Jetlee 整理 2005.1.20 目录 1. 柱子配箍加密区长度的问题 (2) 2. PKPM与广厦哪个好点? (3) 3. 轻质填充墙框架中的电梯井墙该怎么处理? (4) 4. PKPM中怎样设置出屋面楼梯间,怎样考虑其鞭梢效应? (5) 5. 关于pkpm的平法表示 (5) 6. 楼梯间建模及载荷问题 (6) 7. 什么是有效质量系数 (7) 8. 关于satwe的程序中问题探讨? (8) 9. 急问:5层框架结构,用什么计算好?TAT?SAT? (9) 10. sat-8 算砖混的问题 (10) 11. 电梯机房的荷载数据如何输入? (10) 12. 规则小高层,用TAT还是SATWE? (11) 13. 吊车荷载的概念 (12) 14. 吊车荷载数据如何输入 (13) 15. 建研院关于“模拟施工法”的说明 (14) 16. 地震力计算两种方法的区别 (15) 17. LTCAD问题多多 (15) 18. Tat算次梁的问题 (16) 19. Satwe计算框架,有时次梁箍筋会很大? (16) 20. Satwe中周期和地震力输出的一些改进 (17) 21. “修正后的基本风压”的涵义 (19) 22. [PKPM]新天地杂志咨询台2004年摘编之一般建模 (20) 23. [PKPM]新天地杂志咨询台2004年摘编之高层 (22) 24. 砖混结构能用tat和satwe分析吗 (25) 25. Pkpm几个主要模块的区别简述 (26) 26. JCCAD里的拉梁承受柱弯矩系数 (28) 27. JCCAD的荷载选择 (28) 28. [PKPM]新天地杂志咨询台2004年摘编之钢结构篇 (28) 29. tat计算结果的正确性判断 (30) 30. [PKPM]新天地杂志咨询台2004年摘编之基础篇 (32) 31. pkpm中主、次梁的区别 (34) 32. PKPM软件使用心得 (36) 33. PMCAD中的参数设置 (37) 34. TAT的参数设置 (38) 35. SATWE的参数设置 (41) 36. tat/satwe中设计参数相关问题的补充 (42) 37. PKPM结构设计参数补充二 (44)

相关文档