文档库 最新最全的文档下载
当前位置:文档库 › mpu接口

mpu接口

mpu接口
mpu接口

在这次试验中我意识到,在激励中的延迟很重要。像之前在激励中只是简单的赋值的话,没有那么在意延迟。刚做这个试验的时候,激励里德延迟写得很随意。运行出来的结果很不理想。结果仔细观察波形,才发现问题。比如:刚开始给out赋值是00000000,但结果一出来首先out就是00000010。原来我的延迟有问题。我的是下降沿有效,运行结果已经出来了两个,程序才开始赋值,所以出错了。调节了时钟的延迟和dr赋值的延迟,慢慢的调试出了结果。

运行结果:

程序代码如下:

module mpu1(dr,clk,dw,out);

input [7:0] dr;

input clk;

input dw;

inout [7:0] out;

reg [7:0] res [7:0];

// reg [7:0] dr;

reg [7:0] dreg;

assign out=(!dw)?dreg:8'bz;

always @(negedge clk)

begin

if(!dw)

dreg=res[dr];

else

res[dr]=out;

$monitor("%b,%b,%b,%b,%b,%b,%b,%b,%b,%b",res[0],res[1],res[2],res[3],res[4],res[5], res[6],res[7],dreg,out);

end

endmodule

`timescale 1ns/100ps

module text_mpu;

reg [7:0] dr=0;

reg clk=1;

reg dw=1;

wire [7:0] out=8'b0;

integer i,j;

mup1 mm(.dr(dr),.clk(clk),.dw(dw),.out(out)); always

#5 clk=~clk;

initial

begin

for(i=0;i<8;i=i+1)

begin

#10 dr<=dr+1;

force out=dr;

//#5 release out;

end

@(negedge clk);

for(j=0;j<8;j=j+1)

begin

dw=0;

#10 dr=j;

end

end

endmodule

微机接口技术实验指导书

《微机接口技术》实验指导书 主编李建波 主审黄忠宇、苏显 广东机电职业技术学院 计算机与信息工程系

前言 本实验指导书适用于机电一体化专业,实验时间10学时,5次上机时间。 主要学习内容为80X86语言实验环境配置、汇编源语言格式、输出字符、循环结构、子程序调用,以及加减乘除等指令操作。 学习结束后,要求学生能够独立编写出综合加减乘除等指令,以及循环结构、子程序调用等程序控制程序。

目录 实验项目一熟悉微机实验环境 (4) 实验项目二掌握中断方式显示数字或字符 (6) 实验项目三掌握汇编语言的寻址方式 (8) 实验项目四掌握循环指令的用法 (10) 实验项目五掌握子程序的用法 (12)

实验报告一熟悉微机实验环境 1、实验目的 1、熟悉微机实验环境安装 2、熟悉微机实验环境配置 3、通过练习加法,熟悉程序格式 4、单步运行程序,通过观察窗口观察指令对寄存器中数据的影响 2、实验步骤 1)软件安装 (拷贝三个文件夹) 复制:桌面| 网上邻居\ Techer\ c盘\ wave、comp86和in8088三个文件夹拷贝:将三个文件夹到自己计算机上C:盘根目录下 在资源管理器下可以看到:C:\wave,C:\comp86,C:\in8088三个文件夹2)通过资源管理器,进入汇编环境 C:\wave\Bin\wave.exe ,双击wave.exe 3)打开文件我的电脑 \ c: \ wave \ bin \ wave.exe环境配置(如下图) 选中菜单栏中“仿真器”——“仿真器设置”选项(如下图) a、选中“语言”一栏,编译器路径中填写: C:\COMP86\(如下图) b、选中“仿真器”一栏, 选择仿真器—————G6W(如下图) 选择仿真头—————8088/8086实验(如下图) 选择CPU —————8088/8086(如下图) 使用软件模拟器:打√表示软件实验,硬件实验则无√ 4)新建文件 a、选中菜单栏\文件\新建文件,建立空白文件 b、编辑文件,输入以下代码

微机原理实验指导书

微型计算机原理与应用实验指导书 上海大学通信学院

2010 年4 月 PC微机原理实验一 一、目的:掌握PC机DEBUG调试程序有关命令的操作及8086各类指令的 功能。 要求:在PC机上完成下列程序的调试运行,并写出运行结果。二、1.DEBUG的基本操作:(详细内容请参阅教材“程序的调试,P173”和“附录F 调试程序DEUBG的使用,P499”) (1)从WINDOWS进入DOS之后,输入命令启动DEBUG: C:>DEBUG 回车 (2)输入R命令,查看和记录CPU各个寄存器的内容: -R回车 看到什么 (3)输入A命令,汇编下面的字符“WINDOWS”显示程序: -A100 ;从偏移地址是0100H处开始写指令 MOV AH,2 MOV DL, 57 ;57H 是“W ”的ASCII码 INT 21 ;INT 21是DOS 功能调用,AH=2代表2号功能 ;这3句合起来的功能是:显示DL中的字符 MOV DL, 49 INT 2 1 MOV DL, 4E INT 21 MOV DL, 44 INT 2 1 MOV DL, 4F INT 2 1 MOV DL, 57 INT 2 1 MOV DL, 53 INT 2 1 INT 3 ;功能是产生一个断点,不要省略 (4)输入U 命令反汇编上面的程序: -U 100 问:这里100代表什么 (5)输入G命令连续运行上面的程序,记录运行结果:

-G=100 ,57,53依次分别改为574F4E57 (6)输入E命令把上面程序中的数据,49,,44,,45:,,45,4C43,4F4D,-E 103 回车(以下同) -E 107 10B-E -E 10F 113-E 117-E 11B-E (7)输入D命令查看程序机器码的存放情况: -D 100 11E ;看从100开始到11E的机器码 (8)输入G命令再连续运行程序,并记录运行结果: -G=100 (9)依次输入下面的T命令(单步执行)和G命令(设置断点并启动运行),记录各 命令的运行结果: -T=100 回车 -G=100 106 回车 -G=100 10A 回车 -G=100 10E 回车 -G=100 11E 回车 注意: 下面第2—第8段程序是7个实用的小程序,若不小心打错指令,可以这样修改: 例如:CS:0100 B300 MOV BL,0 0102 53 PUSH BX 0103 B220 LP1: MOV DL,20 要修改“PUSH BX”,因为这条指令的IP是0102,所以按以下操作: -A 0102 回车,然后把正确的指令打入,“-A ”是汇编指令。 如果要查看0100以后的指令及相应的机器代码,可以 -U 0100 回车,能够看到CS:0100开始的指令及相应的机器代码。“-U ”是反汇编 若发现有误用,用“-A XXXX 回车”,重打这条指令即可 2.编制一个能在CRT上连续显示A,B,C,D······Z大写英文字符的源程序,并在源程序下汇编调试机运行。

微机原理与接口技术(第二版)习题答案

第1章 1.1 微处理器、微型计算机和微型计算机系统三者之间有什么不同? 解: 把CPU(运算器和控制器)用大规模集成电路技术做在一个芯片上,即为微 处理器。微处理器加上一定数量的存储器和外部设备(或外部设备的接口)构成了 微型计算机。微型计算机与管理、维护计算机硬件以及支持应用的软件相结合就形成了微型计算机系统。 1.2 CPU在内部结构上由哪几部分组成?CPU应该具备哪些主要功能? 解: CPU主要由起运算器作用的算术逻辑单元、起控制器作用的指令寄存器、指令译码器、可编程逻辑阵列和标志寄存器等一些寄存器组成。其主要功能是进行算术和逻辑运算以及控制计算机按照程序的规定自动运行。 1.3微型计算机采用总线结构有什么优点? 解: 采用总线结构,扩大了数据传送的灵活性、减少了连线。而且总线可以标准化,易于兼容和工业化生产。 1.4数据总线和地址总线在结构上有什么不同之处?如果一个系统的数据和地址合用 一套总线或者合用部分总线,那么要靠什么来区分地址和数据? 解: 数据总线是双向的(数据既可以读也可以写),而地址总线是单向的。 8086CPU为了减少芯片的引脚数量,采用数据与地址线复用,既作数据总线也作为 地址总线。它们主要靠信号的时序来区分。通常在读写数据时,总是先输出地址(指定要读或写数据的单元),过一段时间再读或写数据。 1.8在给定的模型中,写出用累加器的办法实现15×15的程序。 DEC H JP NZ,LOOP HALT

第2章作业答案 2.1 IA-32结构微处理器直至Pentillm4,有哪几种? 解: 80386、30486、Pentium、Pentium Pro、PeruiumII、PentiumIII、Pentium4。 2.6IA-32结构微处理器有哪几种操作模式? 解: IA一32结构支持3种操作模式:保护模式、实地址模式和系统管理模式。操作模式确定哪些指令和结构特性是可以访问的。 2.8IA-32结构微处理器的地址空间如何形成? 解: 由段寄存器确定的段基地址与各种寻址方式确定的有效地址相加形成了线性地址。若末启用分页机制,线性地址即为物理地址;若启用分页机制,则它把线性地址转为物理地址。 2.15 8086微处理器的总线接口部件由哪几部分组成? 解: 8086微处理器中的总线接口单元(BIU)负责CPU与存储器之间的信息传 送。具体地说,BIU既负责从内存的指定部分取出指令,送至指令队列中排队(8086的指令队列有6个字节,而8088的指令队列只有4个字节);也负责传送执 行指令时所需的操作数。执行单元(EU)负责执行指令规定的操作。 2.16段寄存器CS=120OH,指令指针寄存器IP=FFOOH,此时,指令的物理地址为 多少? 解: 指令的物理地址=12000H+FFOOH=21FOOH 第3章作业答案 3.1分别指出下列指令中的源操作数和目的操作数的寻址方式。 (1)MOV SI, 30O (2)MOV CX, DATA[DI] (3)ADD AX, [BX][SI] (4)AND AX, CX (5)MOV[BP], AX (6)PUSHF 解: (l)源操作数为立即寻址,目的操作数为寄存器寻址。

《微型计算机原理与接口技术》第三版)习题答案

《微机原理与接口技术》习题解答 习题1 1.1 冯·诺依曼型计算机的设计方案有哪些特点? 【解答】冯·诺依曼型计算机的设计方案是“存储程序”和“程序控制”,有以下5方面特点:(1)用二进制数表示数据和指令; (2)指令和数据存储在内部存储器中,按顺序自动依次执行指令; (3)由运算器、控制器、存储器、输入设备和输出设备组成基本硬件系统; (4)由控制器来控制程序和数据的存取及程序的执行; (5)以运算器为核心。 1.2 微处理器和微型计算机的发展经历了哪些阶段?各典型芯片具备哪些特点? 【解答】经历了6代演变,各典型芯片的特点如表1-1所示。 表1-1 微处理器的发展及典型芯片的特点 1.3 微型计算机的特点和主要性能指标有那些? 【解答】除具有运算速度快、计算精度高、有记忆能力和逻辑判断能力、可自动连续工作等基本特点以外,还具有功能强、可靠性高、价格低廉、结构灵活、适应性强、体积小、重量轻、功耗低、使用和维护方便等。 微型计算机的性能指标与系统结构、指令系统、硬件组成、外部设备以及软件配备等有关。常用的微型计算机性能指标主要有:字长、主频、内存容量、指令数、基本指令执行时间、可靠性、兼容性、性能价格比等。

1.4 常见的微型计算机硬件结构由哪些部分组成?各部分的主要功能和特点是什么? 【解答】微型计算机硬件一般由微处理器、内存储器、外存储器、系统总线、接口电路、输入/输出设备等部件组成。 主要组成部件的功能和特点分析如下: (1)微处理器:是微型计算机的核心部件,由运算单元ALU、控制单元、寄存器组以及总线接口部件等组成,其功能是负责统一协调、管理和控制系统中的各个部件有机地工作。 (2)内存储器:用来存放计算机工作过程中需要的操作数据和程序。可分为随机存储器RAM和只读存储器ROM。RAM存放当前参与运行的各种程序和数据,特点是信息可读可写,存取方便,但信息断电后会丢失;ROM用于存放各种固定的程序和数据,特点是信息固定不变,关机后原存储的信息不会丢失。 (3)系统总线:是CPU与其它部件之间传送数据、地址和控制信息的公共通道。可分成数据总线DB、地址总线AB、控制总线CB。 (4)输入/输出接口电路:完成微型计算机与外部设备之间的信息交换。由寄存器组、专用存储器和控制电路等组成。 (5)主机板:由CPU插座、芯片组、内存插槽、系统BIOS、CMOS、总线扩展槽、串行/并行接口、各种跳线和一些辅助电路等硬件组成。 (6)外存储器:使用最多的是磁盘存储器(软盘、硬盘)和光盘存储器。外存储器容量大,保存的信息不会丢失。 (7)输入/输入设备:是微型计算机系统与外部进行通信联系的主要装置。常用的有键盘、鼠标、显示器、打印机和扫描仪等。 1.5 什么是微型计算机的系统总线?说明数据总线、地址总线、控制总线各自的作用。 【解答】系统总线是CPU与其它部件之间传送数据、地址和控制信息的公共通道。 (1)数据总线:用来传送数据,主要实现CPU与内存储器或I/O设备之间、内存储器与I/O设备或外存储器之间的数据传送。 (2)地址总线:用来传送地址。主要实现从CPU送地址至内存储器和I/O设备,或从外存储器传送地址至内存储器等。 (3)控制总线:用于传送控制信号、时序信号和状态信息等。 1.6 什么是系统的主机板?由哪些部件组成? 【解答】CPU、RAM、ROM、I/O接口电路以及系统总线组成的计算机装置称为“主机”,主机的主体则是主机板。主机板上主要有CPU插座、芯片组、内存插槽、系统BIOS、CMOS、总线扩展槽、串行/并行接口、各种跳线和一些辅助电路等硬件。 1.7 计算机中有哪些常用的数制和码制?如何进行数制之间的转换? 【解答】数值数据经常用二进制、十进制、八进制和十六进制;字符数据使用ASCII码;表示十进制数字用BCD码。 (1)十进制到二进制:整数部分连续除以2后“倒取余”,小数部分连续乘以2后“正取整”; (2)二进制到十进制:将二进制数按权展开即可。 (3)二进制到八进制:将3位二进制一组对应1位八进制数码。 (4)八进制到二进制:将1位八进制数码对应3位二进制数码。 十六进制与二进制间转换与八进制与二进制间转换类似,只是比例关系为1位十六进制数码对应4位二进制数码。 1.8 将下列十进制数分别转化为二进制数、十六进制数和压缩BCD码。 (1)15.32 (2)325.16 (3)68.31 (4)214.126

微机原理与接口技术实验指导书13机械、13机械师

《微机原理与接口技术》实验指导书 喻菲菲编 班级:_______ 学号:_______ 姓名:_______ 广东技术师范学院机电学院

目录 MASM611安装指南 ................................................................................. 错误!未定义书签。实验一:汇编语言上机环境及基本操作................................................. 错误!未定义书签。实验二:输入输出程序的编制 ................................................................ 错误!未定义书签。实验三:分支结构程序设计 .................................................................... 错误!未定义书签。实验四:循环结构程序设计 .................................................................... 错误!未定义书签。

课程类型:学科专业基础课课程代码:09401309 课程总学时: 51 实验课程性质:非独立设课课程实验学时: 6 综合性、设计性实验项目数: 3 个 6 学时适用专业:机械设计制 造及其自动化 开课时间:二年级第二学期开课单位:机电学院 撰写人:喻菲菲审定人:周莉 MASM611安装指南 (以下适用于XP系统,win7可以按照,但是无法连接link,不兼容) 双击文件夹MASM611full下的安装文件setup.exe,出现下面的界面 按ENTER回车键继续 按向下的箭头键选中第二项按默认方式安装,按ENTER回车键继续 按向下的箭头键选中第二项安装在D盘,按ENTER回车键继续 按向下的箭头键选中第二项Install for DOS/Windows & NT,按ENTER回车键继续 按向下的箭头键选中第二项MS-DOS/Microsoft Windows,按ENTER回车键继续 选中默认项无变化,按ENTER回车键继续 已安装成功,按ENTER回车键继续 按ENTER回车键继续 按ENTER回车键继续 按ENTER回车键继续 按ENTER回车键继续 按向下的箭头键选中最后一项退出安装,按ENTER回车键 点击开始菜单中的运行,键入cmd,点确定,进入DOS界面。 输入cd\ 返回到根目录,输入D: 进入D盘 输入cd masm611进入D:\masm611文件夹,再输入cd bin进入D:\masm611\bin文件夹 输入edit 01.asm进入程序编辑界面 实验一:汇编语言上机环境及基本操作 一、实验目的及要求 1.学习及掌握汇编语言源程序的书写格式和要求,明确程序中各段的功能和相互 之间的关系。

微机原理与接口技术复习资料(概念)

微机原理与接口技术复习资料(概念)

填空 1、计算机中采用二进制数,尾符用B 表示。 2、西文字符的编码是ASCII 码,用 1 个字节表示。 3、10111B用十六进制数表示为H,八进制数表示为O。 4、带符号的二进制数称为真值;如果把其符号位也数字化,称为原码。 5、已知一组二进制数为-1011B,其反码为10100B ,其补码为10101B 。 6、二进制码最小单位是位,基本单位是字节。 7、一个字节由8 位二进制数构成,一个字节简记为1B ,一个字节可以表示256 个信息。 8、用二进制数表示的十进制编码,简称为BCD 码。 9、8421码是一种有权BCD 码,余3码是一种无权BCD 码。 第二章微型机系统概述 1、计算机的发展经历了时代,微型机属于第代计算机。 2、计算机的发展以集成电路的更新为标志,而微型机的发展是以CPU 的发展 为特征。 3、微处理器又称为CPU ,是微型机的核心部件。 4、把CPU、存储器、I/O接口等集成在一块芯片上,称为单片机。 5、把CPU、存储器、I/O接口等通过总线装配在一块印刷板上,称为单板机。 6、微机的系统总线是连接CPU、存储器及I/O的总线,AB表示地址总线,DB 表示数据总线,CB表示控制总线。 7、软件按功能可分为系统软件和应用软件。 8、操作系统属于系统软件,Word属于应用软件。 9、只配有硬件的计算机称为裸机。 10、衡量存储容量的基本单位是 B ,1kB= 1024 B,1MB= 1024 kB, 1GB= 1024 MB,1TB= 1024 GB。 11、一个完整的计算机系统包括硬件系统和软件系统两大部分。 12、微型机中具有记忆能力的部件是存储器,其中用户使用的是外存储器, 其存储内容在断电以后将保留。 13、微型机的运算速度一般可以用CPU的主频表示,其单位是MHz 或 GHz 。 14、微机硬件系统一般是由五部分组成,包括运算器、控制器、存储器、 输入设备和输入设备。其中前两部分又合称为CPU 。 15、计算机的发展趋势可用“四化”来表示,即巨型化,微型化,网络化和智能化。 第三章微机中的CPU 1、CPU是用大规模或超大规模集成电路技术制成的半导体芯片,其中主要包括运 算器、存储器和控制器。

微处理器与接口技术2012-2013(A)

北京邮电大学2012—2013学年第1学期 《微处理器与接口技术 》期末考试试题(A ) 一、填空题(每空1分,共18分) 1. 假设AL 中存放二进制数01011101B ,若执行指令NEG AL 后再执行CBW ,则AX 的内容为 ,如果认为结果是补码,则按十进制大小是 。 2. 8086在执行MOV AL ,[2001H] 时,需要一个总线周期,ALE 在该总线周期内要持续 个时钟周期有效,此时BHE 为 ,A 0为 。 3. 某8位数据总线的微处理器系统中由6片16K ×4的芯片组成ROM 内

存,若该内存的末地址为0FFFFFH,则其首地址为(填写物理地址)。 4. 计算机内的堆栈存取采用原则,有一个堆栈区,地址为 1250H:0000H~1250H:0100H,(SP)=0052H,则栈顶的物理地址是,栈底的物理地址是。 5. 已定义“V AR1 DB 01H,10H,00H,02H”,且AX=0210H,则指令“DIV V AR1+1”的源操作数是,执行该指令后(会/不会)发生除法溢出中断。 6. 标志寄存器中,标志没有相应的指令来修改,可以通过以下命 令来对其置位,请补充完整。 PUSHF POP AX PUSH AX POPF 7. D/A转换器的分辨率是指。 8. 8086的INTR中断响应时会发出两个低电平的中断响应周期,其中在第 个中断响应周期,8259会通过数据总线向CPU提供中断类型号,该类型号的低3位由提供。 9. 8253的工作方式中,方式(要填写所有符合条件的方式)要 通过GATE端来触发启动计数,在计数过程中如果需要读取计数值,应该向。 二、判断题,正确的在括号内打√,否则打×(每小题2分,共20分) 1.8086的指令执行部件EU负责指令的执行,所以读写存储器的MOV指 令产生的读写控制信号由EU负责产生。()

微机原理与接口技术综合性实验指导书

微机原理与接口技术实验 电子发声系统设计 【实验目的】 (1). 掌握8254接口电路的连接方法,懂得分频数据对发生频率的影响。 (2). 掌握应用汇编语言控制8254使扬声器发声的程序设计的方法步骤。【实验仪器】 PC微机一台、TD-PIT+实验系统一套。 【实验内容】 连接电子发声电路,根据实验提供的音乐频率表和时间表,编程控制8254,使其输出并驱动扬声器发出相应的乐曲。编程演奏《友谊地久天长》。 (1) 确认实验系统与PC机的连线已接通。 (2) 设计连接实验电路。 (3)运用PIT端口资源工具(CHECK程序),查看I/O片选端口始地址。 (4)编程并编译、链接。 运行程序,听扬声器发音是否正确。 【程序的数据处理】 DATA SEGMENT FREQ_LIST DW 371,495,495,495,624,556,495,556,624 ;频率表 DW 495,495,624,742,833,833,833,742,624 DW 624,495,556,495,556,624,495,416,416,371 DW 495,833,742,624,624,495,556,495,556,833 DW 742,624,624,742,833,990,742,624,624,495 DW 556,495,556,624,495,416,416,371,495,0 TIME_LIST DB 4, 6, 2, 4, 4, 6, 2, 4, 4 ;时间表 DB 6, 2, 4, 4, 12, 1, 3, 6, 2 DB 4, 4, 6, 2, 4, 4, 6, 2, 4, 4 DB 12, 4, 6, 2, 4, 4, 6, 2, 4, 4 DB 6, 2, 4, 4, 12, 4, 6, 2, 4, 4 DB 6, 2, 4, 4, 6, 2, 4, 4, 12 DATA ENDS 【上机实验报告】 ⒈上机实验所用的设备(型号或规格),实验环境条件。 ⒉简述实验原理,说明操作过程,及数据要求,给出程序的音频及延时输入数据值。 ⒊你设计的电路图是什么。

微机原理与接口技术知识点总结材料整理

《微机原理与接口技术》复习参考资料 第一章概述 一、计算机中的数制 1、无符号数的表示方法: (1)十进制计数的表示法 特点:以十为底,逢十进一; 共有0-9十个数字符号。 (2)二进制计数表示方法: 特点:以2为底,逢2进位; 只有0和1两个符号。 (3)十六进制数的表示法: 特点:以16为底,逢16进位; 有0--9及A—F(表示10~15)共16个数字符号。 2、各种数制之间的转换 (1)非十进制数到十进制数的转换 按相应进位计数制的权表达式展开,再按十进制求和。(见书本1.2.3,1.2.4)(2)十进制数制转换为二进制数制 ●十进制→二进制的转换: 整数部分:除2取余; 小数部分:乘2取整。 ●十进制→十六进制的转换: 整数部分:除16取余; 小数部分:乘16取整。 以小数点为起点求得整数和小数的各个位。 (3)二进制与十六进制数之间的转换 用4位二进制数表示1位十六进制数 3、无符号数二进制的运算(见教材P5) 4、二进制数的逻辑运算 特点:按位运算,无进借位 (1)与运算 只有A、B变量皆为1时,与运算的结果就是1 (2)或运算 A、B变量中,只要有一个为1,或运算的结果就是1 (3)非运算 (4)异或运算 A、B两个变量只要不同,异或运算的结果就是1 二、计算机中的码制 1、对于符号数,机器数常用的表示方法有原码、反码和补码三种。数X的原码记作[X]原,反码记作[X]反,补码记作[X]补。

注意:对正数,三种表示法均相同。 它们的差别在于对负数的表示。 (1)原码 定义: 符号位:0表示正,1表示负; 数值位:真值的绝对值。 注意:数0的原码不唯一 (2)反码 定义: 若X>0 ,则[X]反=[X]原 若X<0,则[X]反= 对应原码的符号位不变,数值部分按位求反 注意:数0的反码也不唯一 (3)补码 定义: 若X>0,则[X]补= [X]反= [X]原 若X<0,则[X]补= [X]反+1 注意:机器字长为8时,数0的补码唯一,同为00000000 2、8位二进制的表示围: 原码:-127~+127 反码:-127~+127 补码:-128~+127 3、特殊数10000000 ●该数在原码中定义为:-0 ●在反码中定义为:-127 ●在补码中定义为:-128 ●对无符号数:(10000000)2= 128 三、信息的编码 1、十进制数的二进制数编码 用4位二进制数表示一位十进制数。有两种表示法:压缩BCD码和非压缩BCD码。(1)压缩BCD码的每一位用4位二进制表示,0000~1001表示0~9,一个字节表示两位十进制数。 (2)非压缩BCD码用一个字节表示一位十进制数,高4位总是0000,低4位的0000~1001表示0~9 2、字符的编码 计算机采用7位二进制代码对字符进行编码 (1)数字0~9的编码是0110000~0111001,它们的高3位均是011,后4位正好与其对应的二进制代码(BCD码)相符。

(完整版)微机原理及接口技术(习题答案)

范文范例学习指导 第1章微机运算基础 习题和思考题 1.请完成以下计算: 174.66D=(10101110.10101)B=(AE. A8)H 10101110101.01011B=(1397.344)D=(575.58)H 4BCH=(010*********)B=()BCD 2.设字长为8位,X=(2A)16,当X分别为原码、补码、反码和无符号数的时候,其真值 是多少? 答:当X表示原码时,其真值为:+101010 当X表示补码时,其真值为:+101010 当X表示反码时,其真值为:+101010 当X表示无符号数数时,其真值为:00101010 3.设字长为8位,用补码形式完成下列计算,要求有运算结果并讨论是否发生溢出? 120+18 -33-37 -90-70 50+84 答:120+18 其补码形式分别为:(120)补=01111000 (18)补=00010010 01111000 + 00010010 10001010 由于C s=0 ,C p=1,因此有溢出,结果错误 -33-37 其补码形式为:(-33)补=11011111 (-37)补=11011011 11011111 +11011011 10111010 由于C s=1, C p=1,所以没有溢出,结果正确 -90-70 其补码形式为:(-90)补=10011100 (-70)补=10111010 10011100 +10111010 01010110 由于C s=1, C p=0,所以有溢出,结果错误 50+84

其补码形式为:(50)补=00110010 (84)补=01010100 00110010 +01010100 10000110 由于C s=0, C p=1,所以有溢出,结果错误 4.请写出下列字符串的ASCII码值。 My name is Zhang san. 4D 79 6E 61 6D 65 69 73 5A 68 61 6E 67 73 61 6E 2E 第2章 80X86微机系统 习题与思考题 1.微型计算机主要由哪些基本部件组成?各部件的主要功能是什么? 答:微型计算机主要由输入设备、运算器、控制器、存储器和输出设备组成。 各部件的功能分别是:1、输入设备通过输入接口电路将程序和数据输入内存;2、运算器是进行算术运算和逻辑运算的部件,它是指令的执行部件;3、控制器是计算机的指挥中心,它负责对指令进行译码,产生出整个指令系统所需要的全部操作的控制信号,控制运算器、存储器、输入/输出接口等部件完成指令规定的操作;4、存储器用来存放程序、原始操作数、运算的中间结果数据和最终结果数据; 5、输出设备是CPU通过相应的输出接口电路将程序运行的结果及程序、数据送到的设备; 2.微处理器的发展过程是什么? 答:微型计算机的发展过程是: 第一代(1946~1957)——采用电子管为逻辑部件,以超声波汞延迟线、阴极射线管、磁芯和磁鼓等为存储手段;软件上采用机器语言,后期采用汇编语言。 第二代(1957~1965)——采用晶体管为逻辑部件,用磁芯、磁盘作内存和外存;软件上广泛采用高级语言,并出现了早期的操作系统。 第三代(1965~1971)——采用中小规模集成电路为主要部件,以磁芯、磁盘作内存和外存;软件上广泛使用操作系统,产生了分时、实时等操作系统和计算机网络。 第四代(1971~至今)——采用大规模集成电路(LSI)、超大规模集成电路(VLSI)为主要部件,以半导体存储器和磁盘为内、外存储器;在软件方法上产生了结构化程序设计和面向对象程序设计的思想。 3.简述80486微处理器的基本结构。 书12页 4.80486微处理器的工作模式有几种?当CS内容为1000H,IP内容为7896H,求在实地址 模式下的物理地址为多少? 答:实模式和保护模式及虚拟8086模式。当CS内容为1000H,IP内容为7896H,在实地

微型计算机原理及应用实验指导

微型计算机原理及应用实验指导

实验一数据查找实验 一、实验目的 熟悉汇编语言编程。 二、实验内容 在7000H-700FH中查出有几个字节是零,统计“00”的个数再显示在数码管上。 三、实验程序框图 四、实验步骤 (1)在7000H-700FH单元中放入随机数,其中几个单元中输入零。 (2)用连续方式从起始地址0160H开始运行程序(输

入0160后按EXEC键)。 (3)观察显示器上的内容,应显示内容为“00”的单元的个数。 五、思考 修改程序,查找其它内容。 实验程序 1 ORG 0160H 2 FIND: MOV SP,#60H ;设栈指针 3 MOV R0,#10H ;查找16个字节 4 MOV R1,#00H 5 MOV DPTR,#7000H 6 FIND1: MOVX A,@DPTR 7 CJNE A,#00H,FIND2 ;取出的内容与00H相等吗? 8 INC R1 ;计数值加1,指针加1 9 FIND2: INC DPTR 10 DJNZ R0,FIND1 ;未完继续 11 MOV A,R1 12 MOV R0,#79H ;个数送显

示缓冲区 13 ANL A,#0FH 14 MOV @R0,A 15 INC R0 16 MOV A,R1 17 SWAP A 18 ANL A,#0FH 19 MOV @R0,A 20 INC R0 21 MOV A,#10H 22 MOV R4,#04H 23 FIND3: MOV @R0,A 24 INC R0 25 DJNZ R4,FIND3 26 FIND4: LCALL DISP ;循环调显示程序 27 SJMP FIND4 28 DISP: SETB 0D4H ;显示子程序 29 MOV R1,#7EH 30 MOV R2,#20H 31 MOV R3,#00H 32 DISP1: MOV DPTR,#0FF21H 33 MOV A,R2

微机原理与接口技术知识点总结

微机原理与接口技术 概述 二、计算机中的码制(重点)P5 1、对于符号数,机器数常用的表示方法有原码、反码和补码三种。 注意:对正数,三种表示法均相同。它们的差别在于对负数的表示。 (1)原码 定义: 符号位:0表示正,1表示负; 数值位:真值的绝对值。 注意:数0的原码不唯一 (2)反码 定义:若X<0,则[X]反=对应原码的符号位不变,数值部分按位求反 (3)补码 定义:若X<0,则[X]补=[X]反+1 2、8位二进制的表示范围: 原码:-127~+127 反码:-127~+127 补码:-128~+127 3、特殊数10000000 该数在原码中定义为:-0 在反码中定义为:-127 在补码中定义为:-128 对无符号数:(10000000)2=128 三、信息的编码 1、字符的编码P8 计算机采用7位二进制代码对字符进行编码 (1)数字0~9的编码是0110000~0111001,它们的高3位均是011,后4位正好与其对应的二进制代码(BCD码)相符。 (2)英文字母A~Z的ASCII码从1000001(41H)开始顺序递增,字母a~z的ASCII码从1100001(61H)开始顺序递增,这样的排列对信息检索十分有利。 微机组成原理 第一节、微机的结构 1、计算机的经典结构——冯.诺依曼结构P11 (1)微机由CPU(运算器和控制器)、存储器和I/O接口组成 2、系统总线的分类

(1)数据总线(DataBus),它决定了处理器的字长。 (2)地址总线(AddressBus),它决定系统所能直接访问的存储器空间的容量。 (3)控制总线(ControlBus) 第二节、8086微处理器 1、8086,其内部数据总线的宽度是16位,16位CPU。外部数据总线宽度也是16位8086地址线位20根,有1MB(220)寻址空间。P27 2、8086CPU从功能上分成两部分:总线接口单元(BIU)、执行单元(EU) BIU:负责8086CPU与存储器之间的信息传送。EU:负责指令的执行。P28 4、寄存器结构(重点 ) 1)数据寄存器特有的习惯用法P30 ●AX:(Accumulator)累加器。多用于存放中间运算结果。所有I/O指令必须都通过AX与接口传送信息; ●BX:(Base)基址寄存器。在间接寻址中用于存放基地址; ●CX:(Counter)计数寄存器。用于在循环或串操作指令中存放循环次数或重复次数; ●DX:(Data)数据寄存器。在32位乘除法运算时,存放高16位数;在间接寻址的I/O指令中存放I/O端口地址。 2)、指针和变址寄存器P31 ●SP:(StackPointer)堆栈指针寄存器,其内容为栈顶的偏移地址; ●BP:(BasePointer)基址指针寄存器,常用于在访问内存时存放内存单元的偏移地址。 ●SI:(SourceIndex)源变址寄存器Index:指针 ●DI:(DestinationIndex)目标变址寄存器 变址寄存器常用于指令的间接寻址或变址寻址。 3)、段寄存器P28 CS:(CodeSegment)代码段寄存器,代码段用于存放指令代码 DS:(DataSegment)数据段寄存器 ES:(ExtraSegment)附加段寄存器,数据段和附加段用来存放操作数 SS:(StackSegment)堆栈段寄存器,堆栈段用于存放返回地址,保存寄存器内容,传递参数 4)、指令指针(IP)P29 16位指令指针寄存器,其内容为下一条要执行的指令的偏移地址。 5)、标志寄存器 (1)状态标志:P30 ●进位标志位(CF):(CarryFlag)运算结果的最高位有进位或有借位,则CF=1。Carry:进位Auxiliary:辅助 ●辅助进位标志位(AF):(AuxiliaryCarryFlag)运算结果的低四位有进位或借位,则AF=1 ●溢出标志位(OF):(OverflowFlag)运算结果有溢出,则OF=1 ●零标志位(ZF):(ZeroFlag)反映指令的执行是否产生一个为零的结果 ●符号标志位(SF):(SignFlag)指出该指令的执行是否产生一个负的结果 ●奇偶标志位(PF):(ParityFlag)表示指令运算结果的低8位“1”个数是否为偶数 (2)控制标志位 ●中断允许标志位(IF):(InterruptFlag)表示CPU是否能够响应外部可屏蔽中断请求

《微处理器原理与接口》课案

单片机课程设计密码锁

1.设计内容及意义.........................................................................2. 2.整体设计原理及方案 (2) 3.硬件电路图 (3) 4.程序设计流程图 (6) 5.实验结果及数据 (7) 6.问题及心得 (7) 7.完整程序 (8)

1.设计内容及意义 1.1设计内容 ①密码通过键盘输入,若密码正确,则将锁打开。②报警、锁定键盘功能。密码输入错误数码显示器会出现错误提示,若密码输入错误次数超过 3 次锁定键盘。电子密码锁的设计主要由三部分组成:4×4 矩阵键盘接口电路、密码锁的控制电路、输出八段显示电路。另外系统还有LED 提示灯。密码锁设计的关键问题是实现密码的输入、清除、更改、开锁等功能:①密码输入功能:按下一个数字键,一个“-”就显示在最右边的数码管上,同时将先前输入的所有“-”向左移动一位。②密码清除功能:当按下清除键时,清除前面输入的所有值,并清除所有显示。③开锁功能:当按下开锁键,系统将输入与密码进行检查核对,如果正确锁打开,否则不打开。 1.2 设计意义 随着经济的发展,人们对日常生活质量的要求也越来越高,从工作、学习、出行、购物等的各个方面,人们也对现代安全设施提出来更高的要求。在安全技术防范领域,具有防盗报警功能的电子密码锁逐渐代替传统,克服了机械式密码锁密码量少、安全性能差的缺点,使密码锁无论在技术上还是在性能上都大大提高一步。密码锁具有安全性高、成本低、功耗低、易操作等优点,同时还引入了智能化管理、专家分析系统等功能,从而使密码锁具有很高的安全性、可靠性,应用日益广泛。 2.整体设计原理及方案 2.1 整体设计原理 本设计主要由单片机、4*4矩阵键盘、LED和密码存储等部分组成。其中矩阵键盘用于输入数字密码和进行各种功能的实现。由用户通过连接单片机的矩阵键盘输入密码,后经过单片机对用户输入的密码与自己保存的密码进行比较,从而判断密码是否正确,然后控制引脚的高低电平传到开锁电路或者报警电路控制开锁还是报警。 2.2 整体设计方案 在单片机的外围电路外接输入键盘用于密码的输入和一些功能的控制,外接LED数码管用于显示作用,连线时LED1接P1.1,LED2接P1.2,LED3接P1.3。当用户需要开锁时,先按键盘的数字键任意输入密码,密码输完后按下确认键,若输入正确则开门;不正确

微处理器和接口技术复习题.doc

微处理器与接口技术复习题 一、单选题 1.单片机复位后,SP、PC、I/O 口的内容为()0 A.SP := 60H PC =OOH P0 =Pl =二P2= P3 =FFH B.SP == 00H PC =0000H P0 =Pl ==P2= P3 =OOH C.SP == 07H PC =0000H P0 =Pl =:P2= P3 =FFH D.SP := 00H PC =OOH P0 =Pl =二P2= P3 =OOH 2. 80C51有四个工作寄存器区,由PSW状态字中的RS1和RSO两位的状态来决 定,单片机复位后,若执行SETB RSO指令,此时只能使用()的工作寄 存器。 A. 0区B?1区 C. 2区 D. 3区 3. ATMEL的AT89S51驱动能力最强的并行端口为()。 A. PO B. Pl C? P2 D? P3 4. AT89S51单片机定吋器工作方式0是指()工作方式。 A. 8位 B. 8位自动重装 C. 13位 D. 16位 5. AT89S51单片机外部中断0对应的中断入口地址是()。 A. 0003 H B. 000BH C. 0013H D. 001BH 6. 下面哪条指令是错误的?()。 A. MOVX @R0, #30H B? MO VC A, @A+PC C? RL A D? POP ACC 7. AT89S51单片机可分为两个屮断优先级别,各屮断源的优先级别设定是利用()寄存器。 A. IE B? PCON C? IP D? SCON & AT89S51单片机响应外部中断1的中断时,程序应转移到地址()o A. 0003H B. 000BH C. 0013H D. 001BH 9. AT89S51单片机的位寻址区位于内部RAM的()单元。 A. 00H ?7FH B? 20H ?7FH C. 00H ?1FH D. 20H ?2FH 10. AT89S51单片机在同一优先级的中断源同时中请中断时,CPU首先响应()。 A.外部中断0 B.外部中断1 C.定吋器0中断 D.定时器1中断 11. 已知:MOV A, #28H MOV RO, #20H MOV @R0, A ANL A, #OFH ORL A, #80H XRL A, @R0 执行结果A的内容为()o A. AOH B? 28H C? 08H D? 88H 12?在80C51中,需要外加电路实现中断撤除的是:()o A. 定时中断 B.脉冲方式的外部屮断 C.外部串行中断 D.电平方式的外部中断 13?80C51的定时器T0,若振荡频率为12 MHz,在方式1下最大定时时间为()。

微机接口(8086)基本实验指导书

目录 实验须知 (2) 实验一系统介绍 (3) 一.系统特点 (3) 二、8086系统概述 (3) 三、系统电源 (5) 四、硬件介绍 (5) 实验二简单I/O口扩展实验 (9) 实验三存储器读写实验 (11) 实验四I/O口扩展和存储器扩展设计实验 (14) 实验五8255并行口实验 (17) 实验六8253定时器/计数器接口实验 (19) 实验七LCD显示实验 (22)

实验须知 一、预习要求 1.实验前认真阅读实验教程中有关内容,明确实验目的和实验任务。 2.每次实验前应有预习报告,未预习者不允许参加实验。 预习报告中:对软件实验,要求画出程序流程图,编好上机程序;对硬件实验,要求画出实验线路图,拟定实验步骤,画出程序流程图,编好上机程序。 二、实验要求 1.实验是学习“汇编语言程序设计”和“微机原理与接口技术”这两门课程的重要环节,实验课请勿迟到,缺席。 2.爱护设备,保持清洁,不随意更换设备。 3.认真完成实验任务,实验结果经教师检查,教师对实验内容提问,对完成者做记录。 4.做硬件实验时,注意断电操作,即所有的接线、改线及拆线操作均应在不带电的状态下进行,严禁带电操作,并注意防止导线堵塞插孔。 5.发生事故,应立即切断电源,并马上向教师报告,检查原因,吸取教训。 6.实验完毕,请整理实验设备,再离开实验室。 三、报告要求 每次实验后,应递交一份实验报告,报告中应包括下列内容: 1.实验名称、实验人姓名、学号、班级、所用的设备号。 2.实验目的、任务。 3.各任务程序流程图、程序清单(应加适量注释)。 4.硬件实验应画出各任务的完整电路图,包括PC总线与实验用到芯片间全部电路。 5.记录和分析实验结果。 6.据实验目的认真做小结。

《微机接口技术》期末复习题

《微机接口技术》期末复习题 第1章微机接口技术概述 重点:掌握基本概念及地址译码电路的设计方法(必考) 第2章微型计算机系统总线 1、总线按性质可分为哪几类?在微机中采用总线结构有何好处? 答:按总线性质分,可分为三类:数据总线,其上传送数据信息,其数目的多少决定了一次能够传送数据的位数。地址总线,其上传送地址信息,其数目的多少决定了系统能够直接寻址存储器的地址范围。控制总线,其上传送各种控制信息,用于协调系统中各部件的操作。 在微机中采用总线结构意义在于:(1)简化了系统结构。采用总线结构后,系统中各功能部件之间的相互关系变为面向总线的单一关系。整个微机系统的结构简单规整、清晰明了,大大减少各模块间的连线。(2)简化了系统的设计。总线结构使各功能部件间的相互关系变为面向总线的单一关系,也为微机产品的标准化、系列化和通用性提供了方便。硬件、软件的设计简单,且具有互换性和通用性。(3)提高系统的可扩充性。由于总线实行标准化,系统的扩充就十分方便。 2、采用一种总线标准进行微型计算机的硬件结构设计具有什么优点? 答:为适应用户不断变化的要求,微机系统设计必须采用模块化设计,不同的模块组合形成一定的功能。模块之间的连接关系采用标准的总线结构可使不同功能的模块便于互连,兼容性好、生命周期长。模块采用标准化总线结构设计可使模块的生产供应规模化、多元化、价格低、有利于用户。 3、一个总线的技术规范应包括哪些部分? 答:总线技术规范应包括:(1)机械结构规范:模块尺寸、总线插头插座形式与结点数以及模块与插头插座的机械定位。(2)功能规范:总线信号名称、功能以及相互作用的协议。(3)电气规范:总线中每个信号工作时的有效电平、动态转换时间、负载能力以及电气性能的额定值与最大值。 4、总线的定义是什么?简述总线的发展过程。 答:总线就是两个以上模块(或子系统)间传送信息的公共通道,通过它模块间可进行数据、地址码及命令的传输。 最早的标准化总线是S-100总线(1975),80年代初IBM PC/XT个人计算机采用8位ISA总线,之后又在IBM PC/AT机上推出16位ISA总线。随着外设接口对总线性能要求的不断提高,出现了EISA总线及PCI总线。PCI总线目前已被个人计算机广泛采用,成为新的工业标准。 5、为什么要引入局部总线?它的特点是什么? 答:早期的扩充总线(ISA总线)工作频率低,不能满足象图形、视频、网络接口等高数据传输率I/O 设备的要求。在处理器的系统总线与传统扩充总线之间插入一个总线层次,它的频率高于传统扩充总线,专门连接高速I/O设备,满足它们对传输速率的要求。这一层次的总线就是局部总线。局部总线与系统总线经桥接器相连,局部总线与传统扩充总线也经桥接器相连,三个层次的总线相互隔开,各自工作在不同的频宽上,适应不同模块的需要。 6、总线定时协议分哪几种?各有什么特点? 答:总线有三种定时方法。(1)同步定时,信息传输由公共时钟控制,总线信号中包括一个时钟信号,各模块上所有的操作都在时钟开始时启动。(2)异步定时,信息的传输的操作均由源或目的的特定信号跳变所确定,总线上每一个操作的发生均取决于前一个操作的发生,总线操作过程不用公共时钟来同步。(3)半同步定时,总线上各操作之间的时间间隔可以变化,但这个变化只允许为公共时钟周期的整数倍,信号的出现,采样和结束以公共时钟为基础。 7、总线上数据传输分哪几种类型?各有什么特点? 答:分单周期方式和突发方式两种。在单周期方式中,每个总线周期只传送一个数据。在突发方式

相关文档
相关文档 最新文档