文档库 最新最全的文档下载
当前位置:文档库 › 实验答案1

实验答案1

实验答案1

1.低碳钢与铸铁在扭转破坏时有什么不同现象?断口有何不同?原因是什么?

低碳钢在扭转破坏时,断口相对于铸铁破坏时平整,由于存在断口附近材料扭转问题,断口还有扭曲现象,而铸铁破坏时,断口不平整,存在着随机断裂现象。低碳钢破坏时出现低沉扭断声,而铸铁扭断时则展现刚性较大,突然扭断。原因是,低碳钢含碳量低,韧性和刚性较低,碳钢含碳量较大,刚性大。

2.作此实验你拟定的加载方案是什么?你考虑了那些问题?

在加载前期加载时采用均匀等量加载方案,当加载到一定量时,由于材料屈服性能的出现,加载后期采用定量较少均匀足部加载方案,加载时注意观察材料力学曲线变化。我主要考虑的问题有材料本身性能、加载时负荷增量变化、加载后期材料承受力变化情况等因素。

3.对给定的一个待平衡的转子,选择静平衡恰当还是动平衡恰当,为什么?

选择动平衡恰当,因为一个转子在动平衡时平衡了,那么在他静平衡时,也会平衡,如果一个转子静平衡了,动平衡不一定平衡,动平衡包含了静平衡相关因素。

4.哪些类型的试件需要进行平衡实验?经动平衡后还是否需要静平衡?为什么?

比如回转构件、偏心构件、既定运动轨迹构件等需要进行平衡。经过动平衡后不需要静平衡,因为动平衡时,静平衡不平衡因素也会展现出来,我们在处理动不平衡时,已经解决掉静不平衡因素。

5.机构平衡的目的是什么?

机构平衡的目的时为了使机构在运动过程中达到既定的运动状态,减少震动和噪音、减少机构本身磨损,使机构的工作和运动更加稳定和长久。机构不平衡会减少机构寿命。

6.常见的平面机构平衡有哪些?各有什么优缺点?

有利用对称机构平衡和利用平衡质量平衡等。前者需要机构具有对称性或者一定的工作力矩对称性,这种平衡方法简单、使用方便、适合大多数曲柄类机构,但是仅限制于含对称机构。后者方法适合于所有需要平衡机构,应用范围大,但找准平衡位置难,花费时间大。

7.实验中你获得了那些信息?你是如何利用所得数据对运动特性进行分析的?

实验中我知道了角位移的测量需要光电编码器的脉冲数测得,脉冲的出现使得角位移测量相对精确,在测量角速度和加速度时,需要较高的基准。根据所得数据,判断出数据的一些表性,如增加趋势,根据数据变化趋势绘制图形,利用趋势图判断运动特性,找出变化较大点进行分析比较,得出结论。

电路实验第一次作业(带答案)

实验二基尔霍夫定律的验证 一、实验目的 1.通过实验验证基尔霍夫电流定律和电压定律 2.加深理解“节点电流代数和”及“回路电压代数和”的概念 3.加深对参考方向概念的理解 二、原理 基尔霍夫节点电流定律 ∑ I= 基尔霍夫回路电压定律 ∑ U= 参考方向: 当电路中的电流(或电压)的实际方向与参考方向相同时取正值,其实际方向与参考方向相反时取负值。 三、实验仪器和器材 1.0-30V可调直流稳压电源 2.+15直流稳压电源 3.200mA可调恒流源 4.电阻 5.交直流电压电流表 6.实验电路板 7.短接桥 8.导线 四、实验内容及步骤 1.验证基尔霍夫电流定律(KCL) 可假定流入该节点的电流为正(反之也可),并将电流表负极接在节点接口上,电流表正极接到支路接口上进行测量。测量结果如2-1所示。

图2-1 2.验证基尔霍夫回路电压定律(KVL) 用短接桥将三个电流接口短接,测量时可选顺时针方向为绕行方向,并注意电压表的指针偏转方向及取值的正与负,测量结果如表2-2所示。 图2-2

五、思考题 1.利用表2-1和表2-2中的测量结果验证基尔霍夫两个定律。 表一中数据有4.0A+5.1A-9.1A=0这与基尔霍夫电流定律一致; 表二中数据有1.6V+2.7V+5.7V-10V=0; 14.9V-4.2V-2V-5.5V=0; 这与基尔霍夫电压定律基本一致;可见,基尔霍夫电压定律成立 2.利用电路中所给数据,通过电路定律计算各支路电压和电流,并计算测量值与计算值之 间的误差,分析误差产生的原因。 测量误差;读数误差以及所用电表并非理想电表;电压表内阻不是无穷大;电流表内阻不为零;电源输出不稳定;仪器不准确;导线有电阻等。 3.回答下列问题 (1)已知某支路电流约为3mA,现有一电流表分别有20mA、200mA和2A三挡量程,你将使用电流表的哪档量程进行测量?为什么? 选20mA档,因为只有20mA档最接近3mA的电流,其他的档位均太大,造成测量误差大。 (2)改变电流或电压的参考方向,对验证基尔霍夫定律有影响吗?为什么? 没影响。基尔霍夫电压定律的根本原理是回路电压之和为零;基尔霍夫电流定律的根本原理是回路电流相等,改变电压或电流方向,不会影响电压之和为零和回路电流相等的根本规律,因此对验证基尔霍夫定律没有影响 小家伙们,够给力吧!

《数学实验》试题答案

北京交通大学海滨学院考试试题 课程名称:数学实验2010-2011第一学期出题教师:数学组适用专业: 09机械, 物流, 土木, 自动化 班级:学号:姓名: 选做题目序号: 1.一对刚出生的幼兔经过一个月可以长成成兔, 成兔再经过一个月后可以 繁殖出一对幼兔. 如果不计算兔子的死亡数, 请用Matlab程序给出在未来24个月中每个月的兔子对数。 解: 由题意每月的成兔与幼兔的数量如下表所示: 1 2 3 4 5 6 ··· 成兔0 1 1 2 3 5··· 幼兔 1 0 1 1 2 3··· 运用Matlab程序: x=zeros(1,24); x(1)=1;x(2)=1; for i=2:24 x(i+1)=x(i)+x(i-1); end x 结果为x = 1 1 2 3 5 8 13 21 3 4 5 5 89 144 233 377 610 987 1597 2584 4181 6765 1094 6 7711 2865 7 46368 2.定积分的过程可以分为分割、求和、取极限三部分, 以1 x e dx 为例, 利用

已学过的Matlab 命令, 通过作图演示计算积分的过程, 并与使用命令int() 直接积分的结果进行比较. 解:根据求积分的过程,我们先对区间[0,1]进行n 等分, 然后针对函数x e 取和,取和的形式为10 1 i n x i e e dx n ξ=≈ ∑ ? ,其中1[ ,]i i i n n ξ-?。这里取i ξ为区间的右端点,则当10n =时,1 x e dx ?可用10 101 1.805610 i i e ==∑ 来近似计算, 当10n =0时,100 100 1 01 =1.7269100 i x i e e dx =≈ ∑?,当10n =000时,10000 10000 1 1 =1.718410000 i x i e e dx =≈ ∑ ?. 示意图如下图,Matlab 命令如下: x=linspace (0,1,21); y=exp(x); y1=y(1:20); s1=sum(y1)/20 y2=y(2:21); s2=sum(y2)/20 plot(x,y); hold on for i=1:20 fill([x(i),x(i+1),x(i+1),x(i),x(i)],[0,0,y(i),y(i),0],'b') end syms k;symsum(exp(k/10)/10,k,1,10);%n=10 symsum(exp(k/100)/100,k,1,100);%n=100 symsum(exp(k/10000)/10000,k,1,10000);%n=10000

海大-EDA实验1参考答案

Laboratory Exercise 1 Switches, Lights, and Multiplexers ED实验参与答案 Part1 library ieee; use ieee.std_logic_1164.all; entity part1 is port(SW:in std_logic_vector(17 downto 0); LEDR:out std_logic_vector(17 downto 0)); end part1; architecture Behavior of part1 is begin LEDR <= SW; end Behavior; part2 library ieee; use ieee.std_logic_1164.all; --a 2 to 1 multiplexer entity entity mux21 is port(in_x, in_y, in_s:in std_logic; out_m:out std_logic); end mux21; --a 2 to 1 multiplexer architecture architecture structural of mux21 is signal u, v:std_logic; begin u <= in_x and (not in_s); v <= in_y and in_s ; out_m <= u or v ; end structural;

--a eight-bit wide 2 to 1 multiplexer library ieee; use ieee.std_logic_1164.all; --eight-bit wide 2 to 1 multiplexer entity entity mux21_8bit is port( SW: in std_logic_vector (17 downto 0); --SW: in std_logic_vector (15 downto 8); --SW: in std_logic_vector (17 downto 17); LEDR: out std_logic_vector (7 downto 0)); end mux21_8bit; --eight-bit wide 2 to 1 multiplexera rchitecture architecture Structural of mux21_8bit is component mux21 port(in_x, in_y, in_s:in std_logic; out_m:out std_logic); end component; begin U1:mux21port map (in_x=>SW(0), in_y=>SW(8), in_s=>SW(17), out_m=>LEDR(0)); U2:mux21port map (in_x=>SW(1), in_y=>SW(9), in_s=>SW(17), out_m=>LEDR(1)); U3:mux21port map (in_x=>SW(2), in_y=>SW(10), in_s=>SW(17), out_m=>LEDR(2)); U4:mux21port map (in_x=>SW(3), in_y=>SW(11), in_s=>SW(17), out_m=>LEDR(3)); U5:mux21port map (in_x=>SW(4), in_y=>SW(12), in_s=>SW(17), out_m=>LEDR(4)); U6:mux21port map (in_x=>SW(5), in_y=>SW(13), in_s=>SW(17), out_m=>LEDR(5)); U7:mux21port map (in_x=>SW(6), in_y=>SW(14), in_s=>SW(17), out_m=>LEDR(6)); U8:mux21port map (in_x=>SW(7), in_y=>SW(15), in_s=>SW(17), out_m=>LEDR(7)); end Structural; part3 library ieee; use ieee.std_logic_1164.all; --a 2 to 1 multiplexer entity entity mux21 is port(in_x, in_y, in_s:in std_logic; out_m:out std_logic);

数据库实验1-6参考答案

实验一SQL Server使用初步 一、实验目的 1、熟悉SQL Server2000的组成及基本功能。 2、掌握SQL Server2000的登录及注册。 3、掌握SQL Server2000企业管理器的使用方法。 4、熟悉查询分析器的基本使用。 二、实验预习 1、什么是数据库管理系统DBMS?你所知道的DBMS有哪些? 答:DBMS是位于用户和操作系统之间的一层数据管理软件。常见的DBMS主要有:Oracle、db2、SQL Server、MySQL、PostgreSQL、SQLite、Firebird等等。 2、SQL Server 2000(2005)的安装步骤? 答:以企业版安装为例,步骤为: 将企业版安装光盘插入光驱后,出现以下提示框。请选择“安装 SQL Server 2000 组件” 出现下面对话框后,选择 "安装数据库服务器" 。 选择 "下一步",然后选择 "本地计算机" 进行安装。 在 "安装选择" 窗口,选择 "创建新的SQL Server实例..."。对于初次安装的用户,应选用这一安装模式,不需要使用 "高级选项" 进行安装。 "高级选项" 中的内容均可在安装完成后进行调整。 在 "用户信息" 窗口,输入用户信息,并接受软件许可证协议。 在“安装定义”窗口,选择“服务器和客户端工具”选项进行安装。 在“实例名”窗口,选择“默认”的实例名称。 在“安装类型”窗口,选择“典型”安装选项,并指定“目的文件夹”。 在 "服务账号" 窗口,请选择 "对每个服务使用统一账户..." 的选项。 在 "身份验证模式" 窗口,选择 "混合模式..." 选项,并设置管理员"sa"账号的密码。 最后按“下一步”即可完成安装。 检测安装:如果安装成功,应该能成功启动SQL Server,并且能和SQL Server 客户端连接上。 可以通过服务管理器来进行启动。

数学实验答案-1

1.(1) [1 2 3 4;0 2 -1 1;1 -1 2 5;]+(1/2).*([2 1 4 10;0 -1 2 0;0 2 3 -2]) 2. A=[3 0 1;-1 2 1;3 4 2],B=[1 0 2;-1 1 1;2 1 1] X=(B+2*A)/2 3. A=[-4 -2 0 2 4;-3 -1 1 3 5] abs(A)>3 % 4. A=[-2 3 2 4;1 -2 3 2;3 2 3 4;0 4 -2 5] det(A),eig(A),rank(A),inv(A) 求计算机高手用matlab解决。 >> A=[-2,3,2,4;1,-2,3,2;3,2,3,4;0,4,-2,5] 求|A| >> abs(A) ans = ( 2 3 2 4 1 2 3 2 3 2 3 4 0 4 2 5 求r(A) >> rank(A) ans =

4 求A-1 《 >> A-1 ans = -3 2 1 3 0 -3 2 1 2 1 2 3 -1 3 -3 4 求特征值、特征向量 >> [V,D]=eig(A) %返回矩阵A的特征值矩阵D 与特征向量矩阵V , V = - + + - - + - + - + - + D = { + 0 0 0 0 - 0 0 0 0 + 0 0 0 0 - 将A的第2行与第3列联成一行赋给b >> b=[A(2,:),A(:,3)'] b = 《 1 - 2 3 2 2 3 3 -2

1. a=round(unifrnd(1,100)) i=7; while i>=0 i=i-1; b=input('请输入一个介于0到100的数字:'); if b==a ¥ disp('You won!'); break; else if b>a disp('High'); else if b

MATLAB)课后实验答案[1]

实验一 MATLAB 运算基础 1. 先求下列表达式的值,然后显示MATLAB 工作空间的使用情况并保存全部变量。 (1) 0 12 2sin851z e =+ (2) 221ln(1)2 z x x =++,其中2 120.45 5i x +??=? ?-?? (3) 0.30.330.3sin(0.3)ln , 3.0, 2.9,,2.9,3.022a a e e a z a a --+= ++=-- (4) 2242011 122123t t z t t t t t ?≤=0&t<1).*(t.^2)+(t>=1&t<2).*(t.^2-1)+(t>=2&t<3) .*(t.^2-2*t+1)

4. 完成下列操作: (1) 求[100,999]之间能被21整除的数的个数。 (2) 建立一个字符串向量,删除其中的大写字母。 解:(1) 结果: m=100:999; n=find(mod(m,21)==0); length(n) ans = 43 (2). 建立一个字符串向量例如: ch='ABC123d4e56Fg9';则要求结果是: ch='ABC123d4e56Fg9'; k=find(ch>='A'&ch<='Z'); ch(k)=[] ch =

数据库实验题目和答案

按照如下方式重新定义四张表: 定义新的books表,其所拥有的属性与属性类型与原有的books表一致 以列级完整性的方式定义属性bookId为主码,同时在定义的时候必须提供约束名 定义属性category为”非空” ,同时在定义的时候必须提供约束名 create table Books( bookId number(9,0) constraint BooksKey primary key, title varchar(80), author varchar(40), year integer, category varchar(15) constraint B1 not null ); commit; 定义新的customers表,其所拥有的属性与属性类型与原有的customers表一致 以表级完整性的方式定义属性cid为主码 以列级完整性的方式定义属性cname为”唯一”,同时在定义的时候必须提供约束名 create table Customers ( cid number(9,0), cname char(40) constraint C1 unique, age integer, primary key(cid) ); commit; 定义新的purchases表,其所拥有的属性与属性类型与原有的purchases表一致 定义属性cid与bookId为主码,同时在定义的时候必须提供约束名 以列级完整性的方式定义属性bookId为外码,它参照Books表的主码,同时在定义的时候必须提供约束名。当删除Books表中的某一本书时,级联删 除与它相关的购买记录 以表级完整性的方式定义属性cid为外码,它参照customers表,同时在定义的时候必须提供约束名。当删除customers表中的某个顾客时,如果存在 与该客户相关的购买记录,拒绝删除。 create table Purchases( cid number(9,0), bookId number(9,0)

大学物理实验作业答案(全部)

教育技术专业《大学物理实验》课后部份习题答案 控制电路的研究(PASCO 综合性实验) 1.在限流和分压实验中,当连接完电路准备通电前,应使滑动头C 移到哪一端?为什么?(P191思考题) 答:如图1所示,在限流实验中,当连接完电路准备通电前,应使滑动头C 移到B 端,使限流有效电阻最大,可让回路中电流从小变到大。 如图2所示,在分压实验中,当连接完电路准备通电前,应使滑动头C 移到A 端,使分压有效电阻最小,可控制电压从小变到大。 2. 有人说,分压电路是用来控制电压,限流电路是用来控制电路电流的,你认为这种说法对吗?(P191 思考题) 答:这种说法太片面。因为,分压电路控制范围:V 是0E →,I 是0 0E R → 。限流电路控制范围:V 是 00R E E R R →+,I 是 00 E E R R R → +。所以,无论是分压还是限流控制电流,都能进行控制电路的电压和电 流,只是在具体电路中,控制程度不同。 霍尔效应效应及其磁场的测量 1、什么是霍尔效应?采用霍尔效应测量磁场时,要测量哪些物理量? 答:①导体或半导体薄膜材料在外加电场作用下,载流子产生定向运动,运动的电荷在磁场中受到洛仑兹 力作用使电荷产生横向的偏转,由于样品有边界,所以偏转的载流子将在边界积累起来,产生一个横向电场,这种现象就是霍尔效应。 ②霍尔电压B I K U S H H =,对于一定的霍尔元件,其灵敏度H K 是一个常量,已测量;因此,采用霍尔效应测量磁场时,需要测量霍尔电流S I 和对应的磁感应强度B 两个物理量。 2、使用霍尔效应测量磁场时,如何消除其副效应的影响? 答: 使用霍尔效应测量磁场时,可以采用对称测量法消除副效应的影响。选择电流和磁场的四种取向组 合),(S I B ++、),(S I B -+、),(S I B --、),(S I B +-测得四组电压值1U 、2U 、3U 、4U ,再根据下 式算出霍尔电压值4 4 321U U U U U H -+-=。 磁阻效应 1、什么叫做磁阻效应?磁阻效应是怎样产生的? 答:①一定条件下,导电材料的电阻值R 随磁感应强度B 变化的现象成为磁阻效应; ②当导体或半导体处于磁场中时,导体或半导体的载流子将受洛仑兹力的作用,发生偏转,在两端产生 图1 图2

matlab数学实验复习题(有标准答案)

复习题 1、写出3 2、i nv(A)表示A的逆矩阵; 3、在命令窗口健入 clc,4、在命令窗口健入clea 5、在命令窗口健入6、x=-1:0.2:17、det(A)表示计算A的行列式的值;8、三种插值方法:拉格朗日多项式插值,分段线性插值,三次样条插值。 9、若A=123456789?? ????????,则fliplr (A)=321654987?????????? A-3=210123456--??????????A .^2=149162536496481?????????? tril(A)=100450789?????????? tri u(A,-1)=123456089??????????diag(A )=100050009?????????? A(:,2),=2 58A(3,:)=369 10、nor mcd f(1,1,2)=0.5%正态分布mu=1,s igm a=2,x =1处的概率 e45(@f,[a,b ],x0),中参数的涵义是@fun 是求解方程的函数M 文 件,[a,b ]是输入向量即自变量的范围a 为初值,x0为函数的初值,t 为输出指定的[a,b],x 为函数值 15、写出下列命令的功能:te xt (1,2,‘y=s in(x)’

hold on 16fun ction 开头; 17 ,4) 3,4) 21、设x 是一向量,则)的功能是作出将X十等分的直方图 22、interp 1([1,2,3],[3,4,5],2.5) Ans=4.5 23、建立一阶微分方程组? ??+='-='y x t y y x t x 34)(3)(2 的函数M 文件。(做不出来) 二、写出运行结果: 1、>>ey e(3,4)=1000 01000010 2、>>s ize([1,2,3])=1;3 3、设b=ro und (unifrnd(-5,5,1,4)),则=3 5 2 -5 >>[x,m]=min(b);x =-5;m=4 ,[x,n ]=sort(b ) -5 2 3 5 4 3 1 2 mea n(b)=1.25,m edian(b)=2.5,range(b)=10 4、向量b如上题,则 >>an y(b),all(b<2),all(b<6) Ans =1 0 1 5、>>[5 6;7 8]>[7 8;5 6]=00 11 6、若1234B ??=???? ,则 7、>>diag(d iag (B ))=10 04 8、>>[4:-2:1].*[-1,6]=-4 12 9、>>acos(0.5),a tan(1) ans = 1.6598 ans=

C实验报告1参考答案范文

《高级语言程序设计》实验报告 班级: 学号: 姓名: 成绩: 实验1熟悉Visual C++6.0环境及运行C 程序 一、实验目的 1.掌握在Visual C++6.0环境下C 程序的建立、编辑、编译和执行过程。 2.掌握C 程序的最基本框架结构,完成简单程序的编制与运行。 3.了解基本输入输出函数scanf()、printf ()的格式及使用方法。 4.掌握发现语法错误、逻辑错误的方法以及排除简单错误的操作技能。 二、实验内容 1.下列程序的功能是:从键盘输入两个数a 和b ,求它们的平方和,并在屏幕上输出。输入该C 程序,编译并运行之,记下屏幕的输出结果,以文件名sy1_1.c 保存。 #include main() { int a,b,sum; /*定义整型变量a 、b 、sum */ printf("Please Input a,b \n "); /*输出提示信息*/ scanf("%d%d",&a,&b); /*从键盘输入两个整数分别赋予a 和b*/ sum=a*a+b*b; /*赋值语句,把a 2+b 2的结果赋给变量sum*/ printf("%d*%d+ %d*%d=%d\n",a,a,b,b,sum); /*输出语句*/ } (1)下列程序的功能为:计算x*y 的值并将结果输出。试纠正程序中存在的错误,以实现其功能。程序以文件名sy1_2.c 保存。 #include main main () { int x=2;y=3;a int x=2;y=3;a ;

A=x*y a =x*y ; print ('a=%d",A); print f ("a=%d",a ); printf("\n"); } (2)下程序的功能为:求两数中的较大数据并输出。纠正程序中存在的错误,以实现其功能。程序以文件名 sy1_3.c 保存。 #include viod main() void main() { int a ,b , max; Scanf(“%d,%d ”,&a,&b); s canf(“%d,%d ”,&a,&b); Max=a; m ax=a; If (max main() { int x,y,total; /* 定义整型变量x,y,total*/ printf("Please input x,y ! "); /* 输出提示信息 */ scanf(“%d%d ”,&x,&y); /* 由键盘输入两个数分别赋予x 和y*/ total=x+y; /* 赋值语句 */ printf("%d + %d=%d\n",x,y,total); /* 输出两个整数的和 */ }

数据库上机实验题目和答案

试用SQL的查询语句表达下列查询: 1.检索王丽同学所学课程的课程号和课程名。 select Cno ,Cname from c where Cno in (select cno from sc where sno in (select sno from s where sname='王丽' )) 2.检索年龄大于23岁的男学生的学号和姓名。 select sno,sname from s where sex='男' and age>23 3.检索‘c01’课程中一门课程的女学生姓名 select sname from s where sex='女' and sno in (select sno from sc where cno='c01') 4.检索s01同学不学的课程的课程号。 select cno from c where cno not in (select cno from sc where sno ='s01') 5.检索至少选修两门课程的学生学号。 select sc.sno from s,sc where s.sno=sc.sno group by sc.sno having count(https://www.wendangku.net/doc/d96151961.html,o)>=2 6.每个学生选修的课程门数。 解法一: select so.sno sno,https://www.wendangku.net/doc/d96151961.html,ount,s.sname from(select sc.sno sno,count(sc.sno) ccount from sc,s where s.sno=sc.sno group by sc.sno ) so,s where s.sno=so.sno 解法二: select sc.sno sno,s.sname,count(sc.sno) ccount from sc,s where s.sno=sc.sno group by sc.sno,sname

SPSS操作实验作业1(附答案)

SPSS操作实验 (作业1) 作为华夏儿女都曾为有着五千年的文化历史而骄傲过,作为时代青年都曾为中国所饱受的欺压而愤慨过,因为我们多是炎黄子孙。然而,当代大学生对华夏文明究竟知道多少呢 某研究机构对大学电气、管理、电信、外语、人文几个学院的同学进行了调查,各个学院发放问卷数参照各个学院的人数比例,总共发放问卷250余份,回收有效问卷228份。调查问卷设置了调查大学生对传统文化了解程度的题目,如“佛教的来源是什么”、“儒家的思想核心是什么”、“《清明上河图》的作者是谁”等。调查问卷给出了每位调查者对传统文化了解程度的总得分,同时也列出了被调查者的性别、专业、年级等数据信息。请利用这些资料,分析以下问题。 问题一:分析大学生对中国传统文化的了解程度得分,并按了解程度对得分进行合理的分类。 问题二:研究获得文化来源对大学生了解传统文化的程度是否存在影响。 要求: 直接导出查看器文件为.doc后打印(导出后不得修改) 对分析结果进行说明,另附(手写、打印均可)。 于作业布置后,1周内上交 本次作业计入期末成绩

答案 问题一 操作过程 1.打开数据文件作业。同时单击数据浏览窗口的【变量视图】按钮,检查各个 变量的数据结构定义是否合理,是否需要修改调整。 2.选择菜单栏中的【分析】→【描述统计】→【频率】命令,弹出【频率】对 话框。在此对话框左侧的候选变量列表框中选择“X9”变量,将其添加至【变量】列表框中,表示它是进行频数分析的变量。 3.单击【统计量】按钮,在弹出的对话框的【割点相等组】文本框中键入数字 “5”,输出第20%、40%、60%和80%百分位数,即将数据按照题目要求分为等间隔的五类。接着,勾选【标准差】、【均值】等选项,表示输出了解程度得分的描述性统计量。再单击【继续】按钮,返回【频率】对话框。

实验二极限与连续数学实验课件习题答案

天水师范学院数学与统计学院 实验报告 实验项目名称极限与连续 所属课程名称数学实验 实验类型上机操作 实验日期 2013-3-22 班级 10数应2班 学号 291010836 姓名吴保石 成绩

【实验过程】(实验步骤、记录、数据、分析) 1.数列极限的概念 通过计算与作图,加深对极限概念的理解. 例2.1 考虑极限3321 lim 51 x n n →∞++ Print[n ," ",Ai ," ",0.4-Ai]; For[i=1,i 15,i++,Aii=N[(2i^3+1)/(5i^3+1),10]; Bii=0.4-Aii ;Print[i ," ",Aii ," ",Bii]] 输出为数表 输入 fn=Table[(2n^3+1)/(5n^3+1),{n ,15}]; ListPlot[fn ,PlotStyle {PointSize[0.02]}] 观察所得散点图,表示数列的点逐渐接近直线y=0 .4 2.递归数列 例2.2 设n n x x x +==+2,211.从初值21=x 出发,可以将数列一项项地计算出来,这样定义的数列称为 数列,输入 f[1]=N[Sqrt[2],20]; f[n_]:=N[Sqrt[2+f[n-1]],20]; f[9] 则已经定义了该数列,输入 fn=Table[f[n],{n ,20}] 得到这个数列的前20项的近似值.再输入 ListPlot[fn ,PlotStyle {PointSize[0.02]}] 得散点图,观察此图,表示数列的点越来越接近直线2y =

例2.3 考虑函数arctan y x =,输入 Plot[ArcTan[x],{x ,-50,50}] 观察函数值的变化趋势.分别输入 Limit[ArcTan[x],x Infinity ,Direction +1] Limit[ArcTan[x],x Infinity ,Direction -1] 输出分别为2 π 和2π-,分别输入 Limit[sign[x],x 0,Direction +1] Limit[Sign[x],x 0,Direction -1] 输出分别为-1和1 4.两个重要极限 例2.4 考虑第一个重要极限x x x sin lim 0→ ,输入 Plot[Sin[x]/x ,{x ,-Pi ,Pi}] 观察函数值的变化趋势.输入 Limit[Sin[x]/x ,x 0] 输出为1,结论与图形一致. 例2.5 考虑第二个重要极限1 lim(1)x x x →∞+,输入 Limit[(1+1/n)^n ,n Infinity] 输出为e .再输入 Plot[(1+1/n)^n ,{n ,1,100}] 观察函数的单调性 5.无穷大 例2.6 考虑无穷大,分别输人 Plot[(1+2x)/(1-x),{x ,-3,4}] Plot[x^3-x ,{x ,-20,20}] 观察函数值的变化趋势.输入 Limit[(1+2x)/(1-x),x 1] 输出为-∞ 例2.7 考虑单侧无穷大,分别输人 Plot[E^(1/x),{x ,-20,20},PlotRange {-1,4}] Limit[E^(1/x),x 0,Direction +1] Limit[E^(1/x),x 0,Direction -1] 输出为图2.8和左极限0,右极限∞.再输入 Limit[E^(1/x),x 0] 观察函数值的变化趋势. 例2.8 输入 Plot[x+4*Sin[x],{x ,0,20Pi}] 观察函数值的变化趋势. 输出为图2 .9.观察函数值的变化趋势,当x →∞时,这个函数是无穷大,但是,它并不是单调增加.于是,无并不要求函数单调 例2.9 输入

水力学实验1-参考答案

水力学实验 参考答案 静水压强实验 1.同一静止液体内的测压管水头线是根什么线? 测压管水头指p z +,即静水力学实验仪显示的测压管液面至基准面的垂直高度。测压管水头线指测压管液面的连线。实验直接观察可知,同一静止液面内的测压管水头线是一根水平线。 2.当0?B p 时,试根据记录数据,确定水箱内的真空区域。 0?B p ,相应容器的真空区域包括以下三个部分: (1)过测压管2液面作一水平面,由等压面原理知,相对测压管2及水箱内的水体而言,该水平面为等压面,均为大气压强,故该平面以上由密封的水、气所占区域,均为真空区域。 (2)同理,过箱顶小不杯的液面作一水平面,测压管4中,该平面以上的水体亦为真空区域。 (3)在测压管5中,自水面向下深度某一段水柱亦为真空区域。这段高度与测压管2液面低于水箱液面的高度相等,亦与测压管4液面高于小水杯液面高度相等。 3.若再备一根直尺,试采用另外最简便的方法测定0γ。 最简单的方法,是用直尺分别测量水箱内通大气情况下,管5油水界面至水面和油水界面至油面的垂直高度h 和0h ,由式00h h w w γγ= ,从而求得0γ。 4.如测压管太细,对于测压管液面的读数将有何影响? 设被测液体为水,测压管太细,测压管液面因毛细现象而升高,造成测量误差,毛细高度由下式计算 γ θσd h cos 4= 式中,σ为表面张力系数;γ为液体容量;d 为测压管的内径;h 为毛细升高。常温的水,

m N 073.0=σ,30098.0m N =γ。水与玻璃的浸润角θ很小,可以认为0.1cos =θ。于是有 d h 7.29= (h 、d 均以mm 计) 一般来说,当玻璃测压管的内径大于10mm 时,毛细影响可略而不计。另外,当水质不洁时,σ减小,毛细高度亦较净水小;当采用有机下班玻璃作测压管时,浸润角θ较大,其h 较普通玻璃管小。 如果用同一根测压管测量液体相对压差值,则毛细现象无任何影响。因为测量高、低压强时均有毛细现象,但在计算压差时,互相抵消了。 5.过C 点作一水平面,相对管1、2、5及水箱中液体而言,这个水平面是不是等压面?哪一部分液体是同一等压面? 不全是等压面,它仅相对管1、2及水箱中的液体而言,这个水平面才是等压面。因为只有全部具有下列5个条件的平面才是等压面:(1)重力液体;(2)静止;(3)连通;(4)连通介质为同一均质液体;(5)同一水平面。而管5与水箱之间不符合条件(4),相对管5和水箱中的液体而言,该水平面不是水平面。 6、用该实验装置能演示变液位下的恒定水流吗? 关闭各通气阀门,开启底阀,放水片刻,可看到有空气由C 进入水箱。这时阀门的出流就是变液位下的恒定水流。因为由观察可知,测压管1的液面始终与C 点同高,表明作用于底阀上的总水头不变,故为恒定流动。这是由于液位的降低与空气补充使箱体表面真空度的减小处于平衡状态。医学上的点滴注射就是此原理应用的一例,医学上称这为马利奥特容器的变液位下恒定流。

最新最新数据库管理系统实验报告含答案(1)

武汉纺织大学《最新数据 库管理系统》课程实验报告 班级: _______姓名:实验时间:年月日指导教师:_______ 一、实验目的 1、通过实验,使学生全面了解最新数据库管理系统的基本内容、基本原理。 2、牢固掌握SQL SERVER的功能操作和Transact-SQL语言。 3、紧密联系实际,学会分析,解决实际问题。学生通过小组项目设计,能够运用最新数据库管理系统于管理信息系统、企业资源计划、供应链管理系统、客户关系管理系统、电子商务系统、决策支持系统、智能信息系统中等。 二、实验内容 1.导入实验用示例数据库: f:\教学库.mdf f:\教学库_log.ldf f:\仓库库存.mdf f:\仓库库存_log.ldf 1.1 将数据库导入 在SqlServer 2005 导入已有的数据库(*.mdf)文件,在SQL Server Management Studio 里连接上数据库后,选择新建查询,然后执行语句 EXEC sp_attach_db @dbname = '教学库', @filename1 = 'f:\教学库.mdf', @filename2 = 'f:\教学库_log.ldf' go use [教学库] EXEC sp_changedbowner 'sa' go EXEC sp_attach_db @dbname = '仓库库存',

@filename1 = 'f:\仓库库存.mdf', @filename2 = 'f:\仓库库存_log.ldf' go use [仓库库存] EXEC sp_changedbowner 'sa' go 1.2 可能出现问题 附加数据库出现“无法打开物理文件"X.mdf"。操作系统错误5:"5(拒绝访问。)"。(Microsoft SQL Server,错误: 5120)”。 解决:找到要附加的.mdf文件-->右键-->属性-->安全-->选择当前用户-->编辑-->完全控制。对.log文件进行相同的处理。 2.删除创建的数据库,使用T-SQL语句再次创建该数据库,主文件和日志文件的文件名同上,要求:仓库库存_data最大尺寸为无限大,增长速度为20%,日志文件初始大小为2MB,最大尺寸为5MB,增长速度为1MB。 CREATE DATABASE仓库库存 (NAME = '仓库库存_data', FILENAME = 'F:\仓库库存_data.MDF' , SIZE = 10MB, FILEGROWTH = 20%) LOG ON (NAME ='仓库库存_log', FILENAME = 'F:\仓库库存_log. LDF', SIZE = 2MB, MAXSIZE = 5MB, FILEGROWTH = 1MB) 2.1 在数据库“仓库库存”中完成下列操作。 (1)创建“商品”表,表结构如表1:

土木工程实验作业答案

姓名:黄玮 院校学号:150032203157 学习中心:石家庄 层次:专升本 专业:土木工程 实验一:混凝土实验 一、实验目的:熟悉混凝土的技术性质和成型养护方法;掌握砼拌合物工作性的测定和评定方法;通过检验砼的立方体抗压强度,掌握有关强度的评定方法。 二、配合比信息: 1.基本设计指标 (1)设计强度等级C30 (2)设计砼坍落度30-50mm 2.原材料 (1)水泥:种类复合硅酸盐水泥强度等级P.C 32.5 (2)砂子:种类河砂细度模数 2.6 (3)石子:种类碎石粒级5-31.5mm (4)水:洁净的淡水或蒸馏水 3.配合比:(kg/m3) 三、实验内容: 第1部分:混凝土拌合物工作性的测定和评价

1、实验仪器、设备:电子秤、量筒、坍落度筒、拌铲、小铲、捣棒(直径16mm、长600mm,端部呈半球形的捣棒)、拌合板、金属底板等。 2、实验数据及结果 第2部分:混凝土力学性能检验 1、实验仪器、设备:标准试模:150mm×150mm×150 mm 、振动台、压力试验机(测量精度为±1%,时间破坏荷载应大于压力机全量程的20%;且小于压力机全量程的80%。)、压力试验机控制面板、标准养护室(温度20℃±2℃,相对湿度不低于95%。) 2、实验数据及结果 四、实验结果分析与判定: (1)混凝土拌合物工作性是否满足设计要求,是如何判定的? 答:满足设计要求。实验要求混凝土拌合物的塌落度30—50mm,而此次实验结果中塌落度为40mm,符合要求;捣棒在已塌落的拌合物锥体侧面轻轻

敲打,锥体逐渐下沉表示粘聚性良好;塌落度筒提起后仅有少量稀浆从底部析出表示保水性良好。 (2)混凝土立方体抗压强度是否满足设计要求。是如何判定的? 答:满足设计要求。该组试件的抗压强度分别为31.7MPa、38.4MPa、38.7 MPa, 因31.7与38.4的差值大于38.4的15%,因此把最大值最小值一并舍除,取38.4 MPa作为该组试件的抗压强度值,38.4 MPa大于38.2 MPa,因此所测混凝土强度满足设计要求。 实验二:钢筋混凝土简支梁实验 一、实验目的: 1.分析梁的破坏特征,根据梁的裂纹开展判断梁的破坏形态。2.观察裂纹开展,记录梁受力和变形过程,画出荷载挠度曲线。3.根据每级荷载下应变片的应变值分析应变沿截面高度是否成线性。4.测定梁开裂荷载和破坏荷载,并与理论计算值进行比较。 二、实验基本信息: 1.基本设计指标 (1)简支梁的截面尺寸150mm×200mm (2)简支梁的截面配筋(正截面) 2.材料 (1)混凝土强度等级C30 (2)钢筋强度等级HRB335 三、实验内容: 第1部分:实验中每级荷载下记录的数据

数学实验(MATLAB版韩明版)5.1,5.3,5.5,5.6部分答案

练习 B的分布规律和分布函数的图形,通过观1、仿照本节的例子,分别画出二项分布()7.0,20 察图形,进一步理解二项分布的性质。 解:分布规律编程作图:>> x=0:1:20;y=binopdf(x,20,; >> plot(x,y,'*') 图像: y x 分布函数编程作图:>> x=0::20; >>y=binocdf(x,20, >> plot(x,y) 图像: 《

1 x 观察图像可知二项分布规律图像像一条抛物线,其分布函数图像呈阶梯状。 2、仿照本节的例子,分别画出正态分布()25,2N的概率密度函数和分布函数的图形,通过观察图形,进一步理解正态分布的性质。 解:概率密度函数编程作图:>> x=-10::10; >> y=normpdf(x,2,5); >> plot(x,y) 图像:

00.010.020.030.040.050.060.070.08x y 分布函数编程作图:>> x=-10::10; >> y=normcdf(x,2,5); ~ >> plot(x,y) 图像:

01x y 观察图像可知正态分布概率密度函数图像像抛物线,起分布函数图像呈递增趋势。 3、设()1,0~N X ,通过分布函数的调用计算{}11<<-X P ,{}22<<-X P , {}33<<-X P . 解:编程求解: >> x1=normcdf(1)-normcdf(-1),x2=normcdf(2)-normcdf(-2),x3=normcdf(3)-normcdf(-3) x1 = x2 = ) x3 = 即:{}6827.011=<<-X P ,{}9545.022=<<-X P ,{}9973.033=<<-X P . 4、设()7.0,20~B X ,通过分布函数的调用计算{}10=X P 与{}10> x1=binopdf(10,20,,x2=binocdf(10,20,-binopdf(10,20, x1 = x2 =

相关文档