文档库 最新最全的文档下载
当前位置:文档库 › 计算机组成原理课程实验——运算器+存储器

计算机组成原理课程实验——运算器+存储器

计算机组成原理课程实验——运算器+存储器
计算机组成原理课程实验——运算器+存储器

《计算机组成原理》课程实验

实验内容

●EL-JY-II计算机组成原理实验系统简介使用说明及要求

●实验一:运算器实验

●实验二:移位运算实验

●实验三:存储器实验和数据通路实验

EL-JY-Ⅱ计算机组成原理实验系统简介使用说明及要求

EL-JY-Ⅱ型计算机组成原理实验系统是为计算机组成原理课的教学实验而研制的,涵盖了目前流行教材的主要内容,能完成主要的基本部件实验和整机实验,可供大学本科学习《计算机组成原理》、《计算机组成和结构》等课程提供基本的实验条件,同时也可供计算机其它课程的教学和培训使用。

一.基本特点

1、本系统采用了新颖开放的电路结构:

(1)、在系统的总体构造形式上,采用“基板+ CPU板”的形式,将系统的公共部分,如数据的输入、输出、显示单片机控制及与PC机通讯等电路放置在基板上,它兼容8位机和16位机,将微程序控制器、运算器、各种寄存器、译码器等电路放在CPU板上,而CPU板分为两种:8位和16位,它们都与基板兼容,同一套系统通过更换不同的CPU板即可完成8位机或16位机的实验,用户可根据需要分别选用8位的CPU板来构成8位计算机实验系统或选用16位的CPU板来构成16位计算机实验系统;也可同时选用8位和16位的CPU板,这样就可用比一套略多的费用而拥有两套计算机实验系统,且使用时仅需更换CPU板,而不需做任何其它的变动或连接,使用十分方便。(2)、本系统提供有面包板和CPLD实验板(可选),学生能自己设计实验内容,达到开拓思维,提高创新和设计能力的目的。

2、本系统上安装有63个拨动开关、4个按钮开关和65个发光二极管,既可在单片机的控制下进行编程和显示,完成实验,也可与PC机联机使用,可在PC机上进行编程、传送、装载程序、调试和运行等操作;还可以手动的方式完成全部的实验,并具备单步执行一条微指令、单步执行一条机器指令、连续运行程序、联机打印等功能,几种操作方式可按需要任意选择一种使用,切换方便。

3、控制器采用微程序方案,支持动态微程序设计,微程序指令的格式及定义均可由用户自行设计并装入由EEPROM构成的控存中。

4、在显示功能上,采用了红、黄、绿三种颜色的指示灯以及数码管多种形式的显示方法,使整个系统更加美观大方。

二.系统组成

本系统由两大部分组成。

1、基板

本部分是8位机和16位机的公共部分,包括以下几个部分:

1)数据输入和输出电路

2)显示及监控电路

3)脉冲源及时序电路

4)数据和地址总线

5)8255扩展实验电路

6)单片机控制电路和键盘操作部分

7)与PC机通讯的接口电路

8)主存储器电路

9)微代码输入及显示电路

10)电源电路

11)CPLD实验板(选件)

12)自由实验区(面包板)

2.CPU板

本板分为8位机和16位机两种,除数据总线和地址总线分别为8位和16位以外,都包括以下几个部分:

1)微程序控制器电路

2)运算器电路

3)寄存器堆电路

4)程序计数器电路

5)指令寄存器电路

6)指令译码电路

7)地址寄存器电路

8)数据和控制总线电路

三.参考实验

1.运算器实验

2.移位控制实验

3.存储器实验及数据通路实验(选做)

4.微程序控制器实验

5.微程序设计实验

6.基本实验计算机组成与程序运行实验

7.带移位运算实验计算机的组成与程序运行实验

8.复杂实验计算机的组成与程序运行实验

9.实验计算机的I/O实验

10.PLD应用实验

四.系统布局

系统布局分别见图1和图2。

五.使用说明及要求

1.本系统分为三种实验操作方式。

方式一:开关控制操作;

方式二:键盘控制(单片机控制)操作;

方式三:PC机联机操作。

2.本系统采用正逻辑,即“1”代表高电平,“0”代表低电平;

3.指示灯亮表示相应信号为高电平,熄灭表示相应信号为低电平;

4.实验连线时应按如下方法:对于横排座,应使排线插头上的箭头面向自己插在横排座上;对于竖排座,应使排线插头上的箭头面向左边插在竖排座上;

5.为保证实验的成功,每次实验之前均应认真阅读实验指导书,接线要按要求,确保正确无误且接触良好;

6.应严格按照实验指导书的实验步骤和先后顺序进行实验,否则有可能造成实验不成功甚至损坏芯片。

方式一:开关控制操作方式:

1.在各种控制信号中,有的是低电平有效,有的是高电平有效,请注意区别,具体可参见实验指导。

2.总线是计算机信息传输的公共通路。为保证总线信息的正确无误,总线上每次只能有一个控制信号有效,如果同时有两个或两个以上信号同时有效,会产生总线竞争而造成冲突甚至损坏芯片。故每次开始实验操作时均要先置相应控制开关电路的控制信号为“1”,高电平,对应的指示灯亮。方式二:键盘控制操作方式:

系统通电,K4开关拨到OFF,监控指示灯(数码管,以下数码管均指监控指示灯)上滚动显示【CLASS SELECT】,在该状态下,整个键盘可用键分别为:

系统检测键:按下该键,数码管显示【CHESYS】,(即CHECK SYSTEM的缩写),进入系统自检程序,具体说明见后述说明。

实验选择键:按下该键,数码管显示【ES--__】,进入实验课题选择,具体说明见后述说明。

联机键:按下该键,系统进入与上位机通讯状态,当与计算机联机成功,数码管显示【Pc-Con】,最后显示【8】,表示联机通讯成功。

除了上述三个键有效外,其余按键系统均不响应。

1. 【系统检测】键具体操作说明

(CHECK SYSTEM),(1)当在监控指示灯显示【CLASS SELECt】时按下该键,显示变为【CHESYS】

进入系统自检,此时,只要按下键盘上任意一键,数码管后两位就显示该键所对应的键盘编码,前四位显示对应电路的名称——8255。例如:我们按下2号键,对应的显示为【825502】,然后返回显示【CHESYS】;按下F号键,对应的显示为【82550F】,然后返回显示【CHESYS】。

(2) 在系统检测状态,按下【取消】键,则退出系统检测程序。

(3) 对于键盘上的【0】号键和【1】键,除了显示其键盘编码外,还有第二功能:

【0】键的第二功能说明:

检测所有总线(数据总线、控制总线、微控制总线)的输出功能。按下【0】号键后,监控指示灯显示【825500】后,约过0.5S,系统首先显示【UCDC00】,自动送“0“到所有总线,24位微代码显示数码管显示全0(如果其他两条总线连接有监视灯,也显示全0);此时,系统等待按【确认】键。当按下【确认】键后,数码管显示变为【UCDCFF】,系统自动送所有总线FF,24位微代码显示数码管显示全1(如果其他两条总线连接有监视灯,也显示全1),此时系统等待按【取消】键退出该项功能检测。

在总线输出【00】和【FF】的时候,通过观察总线上的状态显示灯即可知道哪一组总线上的哪一位出错。

【1】键的第二功能说明:

检测所有总线(数据总线、控制总线、微控制总线)的输入功能。按下【1】号键后,显示【825501】后,系统等待按【确认】键,按下【确认】键后,系统显示【UC0PPP】,此时需把K4从“OFF”状态拨向“ON”状态,把开关MS1~MS24拨为全0,再次按下“确认”键,系统读入微控总线的第0组(第一个8位)的全0,如果总线出错,读入哪一个为1,在数码管上就位显示对应的错误位号(如果第一个(低)8位的第0位出错,则显示【UC00Er】,表示微控总线的第0组的第0位出错,UC后的第1个0表示第0组微控总线,第2个0表示第0位),如果完全正确,显示【UC0Cor】,约过1S,显示变为【UC1PPP】,按下【确认】键,系统检测微控总线的第1组(第二个8位)的全0,如果完全正确,显示【UC1Cor】,若有哪一位有错误,错误信息的显示与第一组显示相同;在显示【UC1Cor】后约1S,显示为【UC2PPP】,按下【确认】键,系统检测微控总线的第2组(第

二个8位)的全0,如果完全正确,显示【UC2Cor】,若有哪一位有错误,错误信息显示的与第一组显示相同;当三组全检测完毕,显示变为【CHEEND】(CHECK END),约1S后,显示【OFF】,此时把K4开关拨回到OFF,则又回到系统检测最开始部分。

2. 【实验选择】键具体操作说明:

当显示【CLASS SELECt】时按下该键,数码管显示变为【ES--__】,系统打开键盘,等待通过数字键盘输入实验课题代码,输入相应的课题代码后,按【确认】键进入该实验,在输入的过程中,可通过按【取消】键修改输入,在显示【ES--__】状态连续按【取消】键,即可退出实验选择功能,返回到【CLASS SELECt】状态。

实验课题与输入代码对应关系如下:

实验课题输入代码及按键

实验一01+确认或1+确认

实验二02+确认或2+确认

实验三03+确认或3+确认

实验四04+确认或4+确认

实验五05+确认或5+确认

实验六06+确认或6+确认

实验七07+确认或7+确认

注意:

(1).在采用单片机键盘控制操作方式实验时,必须把K4开关置于【OFF】状态,否则系统处于自锁状态,无法进行实验;

(2).除说明了的除外,本实验方式中提到的数码管(显示)均指监控指示灯的显示。各实验课题均相同。

3. 【联机】键说明

当在数码管显示【CLASS SELECt】时按下该键,系统进入上位机监控实验状态,所有按键全都封闭,除【RST】(复位)键外,所有的实验操作全由上位机控制。当退出联机实验状态后,系统又自动恢复到【CLASS SELECt】状态。

方式三:PC机联机操作方式。

1. 系统软件的安装

2. 联机按照实验指导中的要求进行实验

1.1 运算器实验

1.1.1实验目的

1.掌握运算器的组成及工作原理;

2.了解4位函数发生器74LS181的组合功能,熟悉运算器执行算术操作和逻辑操作的具体实现过程;

3.验证带进位控制的74LS181的功能。

1.1.2 预习要求

1. 复习本次实验所用的各种数字集成电路的性能及工作原理;

2. 预习实验步骤,了解实验中要求的注意之处。

1.1.3实验设备

EL-JY-II型计算机组成原理实验系统一套,排线若干。

1.1.4 电路组成

本模块由算术逻辑单元ALU 74LS181(U29、U30)、暂存器74LS273(U27、U28)、三态门74LS244(U31)和进位控制电路GAL芯片(U32)等组成。电路图见图1-1(a)、1-1(b)。

图1-1(a)ALU电路

图1-1(b)GAL芯片进位控制电路

算术逻辑单元ALU是由两片74LS181构成。74LS181的功能控制条件由S3、S2、S1、S0、M、Cn决定。高电平工作方式74LS181的功能、管脚分配和引出端功能符号详见表1-1、图1-2和表1-2。

两片74LS273构成两个八位数据暂存器,运算器的输出采用三态门74LS244。它们的管脚分配和引出端功能符号详见图1-3和图1-4。

图1-2 74LS181管脚分配表1-1 74LS181输出端功能符号

74LS181功能表见表1-2,其中符号“+”表示逻辑“或”运算,符号“*”表示逻辑“与”运算,符号“/”表示逻辑“非”运算,符号“加”表示算术加运算,符号“减”表示算术减运算。

表1-2 74LS181功能表

图1-3(a)74LS273管脚分配图1-3(b)74LS273功能表

图1-4(a)74LS244管脚分配图1-4(b)74LS244功能

1.1.5工作原理

运算器的结构框图见图1-5。

算术逻辑单元ALU是运算器的核心。集成电路74LS181是4位运算器,两片74LS181以并/串形式构成8位运算器。它可以对两个八位二进制数进行多种算术或逻辑运算,74LS181 有高电平和低电平两种工作方式,高电平方式采用原码输入输出,低电平方式采用反码输入输出,这里采用高电平方式。

三态门74LS244作为输出缓冲器由ALU-G信号控制,ALU-G 为“0”时,三态门开通,此时其输出等于其输入;ALU-G 为“1”时,三态门关闭,此时其输出呈高阻。

两片74LS273作为两个八位数据暂存器,其控制信号分别为LDR1和LDR2,当LDR1和LDR2 为高电平有效时,在T4脉冲的前沿,总线上的数据被送入暂存器保存。

1.1.6 实验内容

验证74LS181运算器的逻辑运算功能和算术运算功能。

1.1.7 实验步骤

Ⅰ、单片机键盘操作方式实验

注:在进行单片机键盘控制实验时,必须把开关K4置于“OFF”状态,否则系统处于自锁状态,无法进行实验。

1.实验连线(键盘实验)

实验连线图如图1-6所示。

(连线时应按如下方法:对于横排座,应使排线插头上的箭头面向自己插在横排座上;对于竖排座,应使排线插头上的箭头面向左边插在竖排座上。)

2.实验过程

在监控指示灯滚动显示【CLASS SELECt】时按【实验选择】键,显示【ES--_ _ 】输入01或1,按【确认】键,监控指示灯显示为【ES01】,表示准备进入实验一程序,也可按【取消】键来取消上一步操作,重新输入。再按【确认】键,进入实验一程序,监控指示灯显示【InSt--】,提示输入运算指令,输入两位十六进制数(参考表1-3和表1-1),选择执行哪种运算操作。按【确认】键,监控指示灯显示【Lo=0】,此处Lo相当于表1-1中的M,默认为“0”,进行算术运算,也可以输入“1”,进行逻辑运算。按【确认】,显示【Cn=0】,默认为“0”,由表1-1可见,此时进行带进位运算,也可输入“1”,不带进位运算(注:如前面选择为逻辑运算,则Cn不起作用)。按【确认】,显示【Ar=1】,不用改变,使用默认值“1”,按【确认】,显示【DATA】,提示输入第一个数据,输入十六进制数【34H】,按【确认】,显示【DATA】,提示输入第二个数据,输入十六进制数【B6H】,按【确认】键,监控指示灯显示【FINISH】,表示运算结束,可从数据总线显示灯观察运算结果。再按【确认】后监控显示灯显示【ES01】,可执行下一运算操作。

表1-3 运算指令关系对照表

在给定LT1=34H、LT2=B6H的情况下,改变运算器的功能设置,观察运算器的输出,填入表

Ⅱ、开关控制操作方式实验

注:为了避免总线冲突,首先将控制开关电路的ALU-G和C-G拨到输出高电平“1”状态(所对应的指示灯亮。)

本实验中所有控制开关拨动,相应指示灯亮代表高电平“1”,指示灯灭代表低电平“0”。

1.按图1-7接线图接线

连线时应注意:为了使连线统一,对于横排座,应使排线插头上的箭头面向自己插

在横排座上;对于竖排座,应使排线插头上的箭头面向左边插在竖排座上。

2 . 通过数据输入电路的拨开关开关向两个数据暂存器中置数:

注意:本实验中ALU-G和C-G不能同时为0,否则造成总线冲突,损坏芯片!故每次实验时应时刻保持只有一路与总线相通。

(1)置ALU-G=1:关闭ALU的三态门U31(74LS244);再置C-G=0:打开数据输入电路的三态门;

(2)向数据暂存器LT1(U27,74LS273)中置数:

1)设置数据输入电路的数据开关“D7……D0”为要输入的数值,如“0101 0101‖;

2)置LDR1=1:使数据暂存器LT1(U27,74LS273)的控制信号有效,置LDR2=0:使数据暂存器LT2(U28,74LS273)的控制信号无效;

3)按一下脉冲源及时序电路的【单脉冲】按钮,给暂存器LT1(U27,74LS273)送时钟,上升沿有效,把数据存在LT1中。

(3)向数据暂存器LT2(U28,74LS273)中置数:

1)设置数据输入电路的数据开关“D7……D0”为想要输入的数值,如“1010 1010‖;

2)置LDR1=0:数据暂存器LT1的控制信号无效;置LDR2=1:使数据暂存器LT2的控制信号有效。

3)按一下脉冲源及时序电路的“单脉冲”按钮,给暂存器LT1(U27,74LS273)送时钟,上升沿有效,把数据存在LT2中。

4)置LDR1=0、LDR2=0,使数据暂存器LT1、LT2的控制信号无效。

(4)检验两个数据暂存器LT1和LT2中的数据是否正确:

1)置C-G=1,关闭数据输入电路的三态门,然后再置ALU-G=0,打开ALU的三态门;

2)置“S3S2S1S0M”为“11111”,数据总线显示灯显示数据暂存器LT1中的数“0101 0101”,表示往暂存器LT1置数正确;

3)置“S3S2S1S0M”为“10101”,数据总线显示灯显示数据暂存器LT2中的数“1010 1010”,表示往暂存器LT2置数正确。

3.验证74LS181的算术和逻辑功能:

按实验步骤2往两个暂存器LT1和LT2分别存十六进制数“34H”和“B6H”,在给定LT1=34H、LT2=B6H的情况下,通过改变“S3S2S1S0MCn”的值来改变运算器的功能设置,通过数据总线指示灯显示来读出运算器的输出值F,填入下表中,参考表1-1的功能表,分析输出F值是否正确。4.验证带进位控制的算术运算功能发生器的功能:

(1)进位标志CY清零:

进位标志指示灯CY初始状态为灭,表示当前进位为“1”,可按如下步骤对它进行清零操作。

1)置S3 S2 S1 S0 M=“00000”,AR=0(AR为进位控制信号);

2)按一下脉冲源及时序电路的【单脉冲】按钮,进位标志指示灯CY亮,表示当前进位为“0”。(2)验证带进位运算及进位的锁存功能:

1)首先将控制开关电路的所有开关拨到输出高电平“1”状态,所有对应的指示灯亮。

2)置ALU-G=1:关闭ALU的三态门U31(74LS244);再置C-G=0:打开数据输入电路的三态门;3)置数据输入电路的数据开关“D7……D0”=“1000 0000”,置LDR1=1,使数据暂存器LT1(U27,74LS273)的控制信号有效,置LDR2=0,使数据暂存器LT2(U28,74LS273)的控制信号无效,按一下脉冲源及时序电路的【单脉冲】往暂存器LT1存入数据“1000 0000”。

4)置数据输入电路的数据开关“D7……D0”=“0111 1111‖,置LDR1=0,使数据暂存器LT1(U28,74LS273)的控制信号无效,置LDR2=1,使数据暂存器LT2(U27,74LS273)的控制信号有效,按一下脉冲源及时序电路的【单脉冲】往暂存器LT2存入数据“0111 1111‖,然后置LDR2=0,使数据暂存器LT2(U27,74LS273)的控制信号无效,准备执行下一步操作。

5)置C-G=1,关闭数据输入电路的三态门,然后再置ALU-G=0,打开ALU的三态门,置“S3S2S1S0M”为“11111”,数据总线显示灯显示数据暂存器LT1中的数“1000 0000”,表示往暂

存器LT1置数正确;置“S3S2S1S0M”为“10101”,数据总线显示灯显示数据暂存器LT2中的数“0111 1111”,表示往暂存器LT2置数正确。

6)置ALU-G=0(注意先使C-G=1),S3S2S1S0M=“10010”,Cn=1,不带进位操作,AR=0,参考表1-1可知此时执行“F=A加B”操作,数据总线上显示“1111 1111 ”,即“1000 0000”加“0111 1111‖的结果。保持LDR1=0和LDR2=0,检查是否产生进位溢出,按一下【单脉冲】,进位指示灯CY亮,表示无进位溢出;

7)置ALU-G=0(注意先使C-G=1),S3S2S1S0M=“10010”,Cn=0,即当前进位为1,AR=0,参考表1-1可知此时执行“F=A加B加1”操作,数据总线上显示“0000 0000 ”,即“1000 0000”加“0111 1111‖加“1”的结果,保持LDR1=0和LDR2=0,检查是否产生进位溢出,按一下【单脉冲】,进位指示灯CY熄灭,表示有进位溢出;

Ⅲ、PC机联机操作方式实验

1.实验连线:

实验连线图与采用单片机键盘操作方式实验连线图一样,如图1-6所示。

2.实验步骤:

(1)将实验系统与计算机串口用实验系统的通讯电缆连接;

(2)打开实验系统上的电源开关,启动实验软件:

启动过程为开始菜单→程序→计算机组成原理试验系统→计算机组成原理试验系统,也可以为该系统软件在桌面上建立快捷方式;

根据提示设置相应参数(下图):(本系统为8位机)

选择与计算机相连的串口,按【确定】进入下一步骤。

(3)在下面的界面中,按【确定】后,再按键盘上【联机】键,系统监控指示灯上显示【Pc-Con】,然后显示【8】,表示联机通讯成功,如果没有显示【Pc-Con】就显示【8】,则联机通讯没有成功,可检查系统。

(4)联机通讯成功后,自动进入实验选择界面:

点击试验一前面的“+”号,再点击试验说明,查看试验步骤过程,然后点击试验步骤,出现下面的界面:

1) 在数据总线上输入有效十六进制数据,按"Ldr1",数据送入暂存器1,观察数据的走向;

2) 在数据总线上输入有效十六进制数据,按"Ldr2",数据送入暂存器2,观察数据的走向;

3)参考实验一的功能表表1-1,在https://www.wendangku.net/doc/d66535990.html,上输入有效数据组合,然后点击其上面的红色区域进行运算,运算器按规定进行运算,运算结果送入三态门,观察数据的走向;

4)按"ALUG",运算结果送入数据总线,观察数据的走向,观察数据总线指示灯显示的结果是否正确。

1.1.8报告要求

1.实验记录:所有的运算结果,故障现象及排除经过;

2.通过本次实验的收获及想法。

1.2移位运算实验

1.2.1 实验目的

掌握移位控制的功能及工作原理

1.2.2 预习要求

1.了解8位双向移位寄存器74LS299的功能、引出端功能符号和管脚分配;

2.预习移位运算电路的工作原理。

1.2.3 实验设备

EL-JY-II型计算机组成原理实验系统一套,排线若干。

1.2.4 电路组成

本模块由逻辑控制单元(由一片GAL构成)U34和带三态输出的移位寄存器74LS299等组成。74LS299具有并行接数、左移、右移、保持等功能,且具有三态输出。其功能和管脚分配见表2-1

和图2-2。

图2-2(a)74LS299的管脚分配图2-2(b)74LS299引出端功能符号

1.2.5 工作原理

移位运算实验电路的功能由S1、S0、M控制,具体功能见表2-2:

表2-2

1.2.6 实验内容

输入数据,利用移位寄存器74LS299控制进行移位。

1.2.7实验步骤

开关控制操作方式实验

说明:本实验中所有控制开关拨动,相应指示灯亮代表高电平“1”,指示灯灭代表低电平“0”。

1.按图2-3接线

连线时应注意:对于横排座,应使排线插头上的箭头面向自己插在横排座上;对于竖排座,

应使排线插头上的箭头面向左边插在竖排座上。

图2-3 实验二开关实验接线图

为了避免总线冲突,首先将控制开关电路的所有开关拨到输出高电平“1”状态,所对应的指示灯亮。

2.不带进位移位操作过程:(以左移为例)开始实验前要把所有控制开关电路上的开关置为高电平“1”状态。

(1)置数:置C-G=1,299-G=1,通过数据输入电路输入要移位的数据,置D7---D0= “0000 0010”,然后置C-G=0,数据总线显示灯显示“0000 0010”,置S0=1,S1=1,参考功能表表2-2可见,此时为置数状态,按脉冲源及时序电路上的【单步】按钮,置C-G=1,完成置数的过程。(2) 不带进位移位:置299-G=0,S0=1,S1=0,M=0,参考功能表表2-2可见,此时为循环左移状态,数据总线显示灯显示“0000 0010”,按【单步】,数据总线显示灯显示“0000 0100”,此时按一次【单步】,数据总线显示的数据向左移动一位。连续按【单步】,观察不带进位移位的过程。如想进行右移,参考表2-2可见,置S0=0,S=1,再按【单步】即可实现右移操作。

(3)带进位移位

首先观察运算器电路上的进位指示灯Z的状态,灯亮表示进位为“1”,灯灭表示进位为“0”,通电进位指示灯亮,进位为“1”状态。先按步骤(1)置数,然后置299-G=0,S0=1,S1=0,M=1,参考功能表表2-2可见,此时为带进位循环左移状态,数据总线显示灯显示“0000 0010”,按【单步】按钮,数据总线显示灯显示“0000 0101”,进位指示灯灭,表示进位“1”已经移进数据总线,连续按【单步】,当数据总线显示灯显示“1010 0000”时,再按【单步】,数据总线显示灯显示“0100 0000”,进位指示灯亮,表示数据总线有“1”移出到进位指示灯上。连续按【单步】,观察带进位移位的过程。如想进行带进位右移,参考表2-2可见,置S0=0,S=1,M=1,再按【单步】即可实现带进位右移操作。

3. 按以上的操作方法验证表2-2所列的移位运算试验电路的所有功能。

1.2.8 实验报告要求

1.实验记录:所有的运算结果,故障现象及排除经过;

2.通过本次实验的收获及想法。

1.3 存储器实验和数据通路实验(选做)1.3.1实验目的

1. 掌握半导体静态随机存储器RAM的特性和使用方法。

2. 掌握地址和数据在计算机总线的传送关系。

3. 了解运算器和存储器如何协同工作。

1.3.2 预习要求

预习半导体静态随机存储器6116的功能。

1.3.3 实验设备

EL-JY-II8型计算机组成原理实验系统一套,排线若干。

1.3.4 电路组成

电路图见图3-1,6116的管脚分配和功能见图3-2。

图3-1 存储器电路

图3-2(a)6116管脚分配图3-2(b)6116功能

计算机组成原理第一章题目(含答案)

第一章计算机系统概论第一章单元测验 1、计算机硬件能直接执行的是 A、高级语言 B、机器语言 C、汇编语言 D、任何语言 2、下列说法中,错误的是 A、软件与硬件具有逻辑功能的等价性 B、固件功能类似软件,形态类似硬件 C、计算机系统层次结构中,微程序属于硬件级 D、寄存器的数据位对微程序级用户透明 3、完整的计算机系统通常包括 A、运算器、控制器、存储器 B、主机、外部设备 C、主机和应用软件 D、硬件系统与软件系统 4、计算机的字长与下列哪项指标密切相关 A、运算精确度 B、运算速度 C、内存容量 D、存取速度 5、CPU地址线数量与下列哪项指标密切相关 A、运算精确度 B、运算速度 C、内存容量 D、存储数据位 6、下列属于冯?诺依曼计算机的核心思想是 A、存储器按地址访问 B、存储程序和程序控制 C、采用补码 D、采用总线

7、下列关于计算机系统层次结构的描述中,正确的是 A、不同层次面向不同用户,看到计算机的属性不同 B、低层代码执行效率比高层代码执行效率高 C、低层用户对硬件的透明性比高层用户要低 D、指令集架构层是软、硬件间的接口 8、下列关于硬件与软件关系的描述中,正确的是 A、硬件是软件运行的基础 B、硬件的发展推动了软件的发展 C、软件的发展也推动硬件的发展 D、软件能完成的功能及性能与硬件有关 9、下列关于计算机字长的描述中正确的是 A、字长一般与运算器的数据位相同 B、字长一般与通用寄存器的位数相同 C、字长一般与存储器数据位相同 D、字长一般与存储器的地址位相同 10、下列可用于评价计算机系统性能的指标是 A、MIPS B、CPI C、IPC D、字长 11、下列计算机系统性能评价的描述中正确的是 A、程序MIPS值越高,计算机的性能越高 B、程序的CPI值越低,计算机的性能越高 C、主频高的机器性能不一定高 D、同一程序在不同机器上运行时得到的MIPS值不一定相同 12、访问256KB的存储空间,需要的地址线数最少为( )根?(只需要填阿拉伯数字) 13、程序必须存放在哪里才能被CPU访问并执行 14、某计算机指令集中共有A、B、C、D四类指令,它们占指令系统的比例分别为40% 、20%、20%、20%, 各类指令的CPI分别为2、3、4、5;该机器的主频为600MHZ,则该机的CPI 为(保留到小数点后一位) 15、某计算机指令集中共有A、B、C、D四类指令,它们占指令系统的比例分别为40% 、20%、20%、20%, 各类指令的CPI分别为2、3、4、5;该机器的主频为600MHZ,则该机的MIPS为(保留到小数点后一位) 参考答案如下:

实验十四 存储器扩展机读写实验

实验十四存储器扩展机读写实验 一、实验目的 (1)通过阅读并测试示例程序,完成程序设计题,熟悉静态RAM的扩展方法。 (2)了解8086/8088与存储器的连接,掌握扩展存储器的读写方法。 二、实验内容 1.实验原理(62256RAM介绍) 62256是32*8的静态存储器,管脚如图所示。其中:A0~A14为地址线,DB0~DB7为数据线,/cs为存储器的片选,/OE为存储器数据输出选通信号,/WE为数据写入存储器信号。62256工作方式如下图。 /CS /WE /OE 方式DB-~DB7 H X X 未选中高阻 L H H 读写禁止高阻 L L H 写IN L H L 读OUT 2.实验内容 设计扩展存储电器的硬件连接图并编制程序,讲字符A~Z循环存入62256扩展RAM 中,让后再检查扩展存储器中的内容。 三、程序设计 编写升序,将4KB扩展存储器交替写入55H和0AAH。 程序如下: RAMADDR EQU 0000H RAMOFF EQU 9000H COUNT EQU 800H CODE SEGMENT ASSUME CS:CODE START: PROC NEAR MOV AX,RAMADDR MOV DS,AX MOV BX,RAMOFF MOV CX,COUNT MOV DL,55h MOV AX ,0AAH REP: MOV [BX],DL INC BX MOV [BX],AX INC BX LOOP REP JMP $ CODE ENDS END START 四、实验结果 通过在软件上调试,运行时能够看到内存地址的改变,证明此扩展的程序成功实现了。 五、实验心得

计算机组成原理第四版课后习题答案完整版

第一章 1.比较数字计算机和模拟计算机的特点 解:模拟计算机的特点:数值由连续量来表示,运算过程是连续的; 数字计算机的特点:数值由数字量(离散量)来表示,运算按位进行。 两者主要区别见P1 表1.1。 2.数字计算机如何分类?分类的依据是什么? 解:分类:数字计算机分为专用计算机和通用计算机。通用计算机又分为巨型机、大型机、 中型机、小型机、微型机和单片机六类。 分类依据:专用和通用是根据计算机的效率、速度、价格、运行的经济性和适应性来划分的。 通用机的分类依据主要是体积、简易性、功率损耗、性能指标、数据存储容量、 指令系统规模和机器价格等因素。

3.数字计算机有那些主要应用? (略) 4.冯. 诺依曼型计算机的主要设计思想是什么?它包括哪些主要组成部分? 解:冯. 诺依曼型计算机的主要设计思想是:存储程序和程序控制。 存储程序:将解题的程序(指令序列)存放到存储器中; 程序控制:控制器顺序执行存储的程序,按指令功能控制全机协调地完成运算任务。 主要组成部分有:控制器、运算器、存储器、输入设备、输出设备。 5.什么是存储容量?什么是单元地址?什么是数据字?什么是指令字? 解:存储容量:指存储器可以容纳的二进制信息的数量,通常用单位KB、MB、GB来度量,存储容 量越大,表示计算机所能存储的信息量越多,反映了计算机存储空间的大小。 单元地址:单元地址简称地址,在存储器中每个存储单

元都有唯一的地址编号,称为单元地 址。 数据字:若某计算机字是运算操作的对象即代表要处理的数据,则称数据字。 指令字:若某计算机字代表一条指令或指令的一部分,则称指令字。 6.什么是指令?什么是程序? 解:指令:计算机所执行的每一个基本的操作。 程序:解算某一问题的一串指令序列称为该问题的计算程序,简称程序。 7.指令和数据均存放在内存中,计算机如何区分它们是指令还是数据? 解:一般来讲,在取指周期中从存储器读出的信息即指令信息;而在执行周期中从存储器中读出的 信息即为数据信息。 8.什么是内存?什么是外存?什么是CPU?什么是适配器?简述其功能。

实验存储器部件实验(精品)

北京林业大学 11学年—12学年第 2 学期计算机组成原理实验任务书 专业名称:计算机科学与技术实验学时: 2 课程名称:计算机组成原理任课教师:张海燕 实验题目:实验四内存储器部件实验 实验环境:TEC-XP+教学实验系统、PC机 实验内容 1.设计扩展8K字存储器容量的线路图,标明数据线、地址线和控制信号的连接关系。 2.扩展教学机的存储器空间,为扩展存储器选择一个地址,并注意读写等控制信号的正确状态。 3.用监控程序的D、E命令对存储器进行读写,比较RAM(6116)、EEPROM (58C65)在读写上的异同。 4.用监控程序的A命令编写一段程序,对RAM(6116)进行读写,用D命令查看结果是否正确。 5.用监控程序的A命令编写一段程序,对扩展存储器EEPROM(58C65)进行读写,用D命令查看结果是否正确;如不正确,分析原因,改写程序,重新运行。 实验目的 1.熟悉ROM芯片和RAM芯片在功能和使用方法等方面的相同和差异之处。 2.理解并熟悉通过字、位扩展技术实现扩展存储器系统容量的方案。 3.了解如何通过读、写存储器的指令实现对58C65ROM芯片的读、写操作。 4.加深理解存储器部件在计算机整机系统中的作用。 实验要求 1.实验之前认真预习,明确实验的目的和具体实验内容,做好实验之前的

必要准备。 2.想好实验的操作步骤,明确通过实验到底可以学习哪些知识,想一想怎么样有意识地提高教学实验的真正效果; 3.在教学实验过程中,要爱护教学实验设备,记录实验步骤中的数据和运算结果,仔细分析遇到的现象与问题,找出解决问题的办法,有意识地提高自己创新思维能力。 4.实验之后认真写出实验报告,重点在于预习时准备的内容,实验数据,运算结果的分析讨论,实验过程、遇到的现象和解决问题的办法,自己的收获体会,对改进教学实验安排的建议等。善于总结和发现问题,写好实验报告是培养实际工作能力非常重要的一个环节,应给以足够的重视。 实验说明 内存储器是计算机中存放正在运行中的程序和相关数据的部件。在教学计算机存储器部件设计中,出于简化和容易实现的目的,选用静态存储器芯片实现内存储器的存储体,包括只读存储区(ROM、存放监控程序等)和随读写存储区(RAM)两部分,ROM存储区选用4片长度8位、容量8KB的58C65芯片实现,RAM存储区选用2片长度8位、容量2KB的6116芯片实现,每2个8位的芯片合成一组用于组成16位长度的内存字,6个芯片被分成3组,其地址空间分配关系是:0-1777H用于第一组ROM,固化监控程序,2000-2777H用于RAM,保存用户程序和用户数据,其高端的一些单元作为监控程序的数据区,第二组ROM的地址范围可以由用户选择,主要用于完成扩展内存容量(存储器的字、位扩展)的教学实验。 在这里还要说明如下两个问题。 第一,要扩展8K字的存储空间,需要使用2片(每一片有8KB容量,即芯片内由8K个单元、每个单元由8个二进制位组成)存储器芯片实现。 第二,当存储器选用58C65ROM芯片时,它属于电可擦除的EPROM器件,可以通过专用的编程器软件和设备向芯片的写入相应的内容,这是正常的操作方式。也可以通过写内存的指令向芯片的指定单元写入16位的数据,只是每一次的这种写操作需要占用长得多写入时间,例如几百个微秒,可以通过运行完成等待功能的子程序来加以保证。本次试验采用的是通过写内存的指令将数据写入芯片

湘潭大学计算机原理实验二ROM存储器与RAM存储器实验报告

计算机原理与设计 实验报告 实验二存储器实验 :XXX 学号:2013551728

班级:13级软件工程2班 实验日期:2014年10 月29 日 1.FPGA中ROM定制与读出实验 一.实验目的 1、掌握FPGA中ROM的设置,作为只读存储器ROM的工作特性和配置方法。 2、用文本编辑器编辑mif文件配置ROM,学习将程序代码以mif格式文件加载于ROM中; 3、在初始化存储器编辑窗口编辑mif文件配置ROM; 4、验证FPGA中ROM的功能。 二.实验原理 ALTERA的FPGA中有许多可调用的模块库,可构成如rom、ram、fifo等存储器结构。CPU 中的重要部件,如RAM、ROM可直接调用他们构成,因此在FPGA中利用嵌入式阵列块EAB 可以构成各种结构的存储器,ROM是其中的一种。ROM有5组信号:地址信号address[ ]、数据信号q[ ]、时钟信号inclock、outclock、允许信号memenable,其参数都是可以设定的。由于ROM是只读存储器,所以它的数据口是单向的输出端口,ROM中的数据是在对FPGA 现场配置时,通过配置文件一起写入存储单元的。图2-1-1中的ROM有3组信号:inclk——输入时钟脉冲;instruction[31..0]——lpm_ROM的32位数据输出端;a[4..0]——lpm_ROM的5位读出地址。 实验中主要应掌握以下三方面的内容: (1)ROM的参数设置; (2)ROM中数据的写入,即FILE初始化文件的编写;

(3)ROM的实际应用,在GW48_CP+实验台上的调试方法。 三.实验步骤 (1)新建工程。工程名是scinstmem.qpf。 (2)用初始化存储器编辑窗口编辑ROM配置文件(文件名.mif)。这里预先给出后面将要用到的指令存储器初始化文件:scinstmem.mif 。如下图,scinstmem.mif中的数据是机器指令代码。 scinstmem.mif中的数据 (3)模块设计。用图形编辑,使用工具Mega Wizard Plug-In Manager,定制指令存储器rom 宏功能块。设置地址总线宽度address[]和数据总线宽度q[],分别为5位和32位,并添加输入输出引脚,如图设置和连接。 ROM的结构图 在设置rom数据参数选择项file的对应窗口中(下图),用键盘输入ROM配置文件的路径(scinstmem.mif),然后设置在系统ROM/RAM读写允许,以便能对FPGA中的ROM在系统读写。

实验一扩展存储器读写实验

实验一:扩展存储器读写实验 一.实验要求 编制简单程序,对实验板上提供的外部存贮器(62256)进行读写操作。 二.实验目的 1.学习片外存储器扩展方法。 2.学习数据存储器不同的读写方法。 三.实验电路及连线 将P1.0接至L1。CS256连GND孔。 四.实验说明 1.单片机系统中,对片外存贮器的读写操作是最基本的操作。用户藉此来熟悉MCS51单片机编程的基本规则、基本指令的使用和使用本仿真实验系统调试程序的方法。 用户编程可以参考示例程序和流程框图。本示例程序中对片外存贮器中一固定地址单元进行读写操作,并比较读写结果是否一致。不一致则说明读写操作不可靠或该存储器单元不可靠,程序转入出错处理代码段(本示例程序通过熄灭一个发光二极管来表示出错)。读写数据的选用,本例采用的是55(0101,0101)与AA(1010,1010)。一般采用这两个数据的读写操作就可查出数据总线的短路、断路等,在实际调试用户电路时非常有效。 用户调试该程序时,可以灵活使用单步、断点和变量观察等方法,来观察程序执行的流程和各中间变量的值。 2.在I状态下执行MEM1程序,对实验机数据进行读写,若L1灯亮说明RAM读

写正常。 3.也可进入LCA51的调试工具菜单中的对话窗口,用监控命令方式读写RAM,在I状态执行SX0000↓ 55,SPACE,屏幕上应显示55,再键入AA,SPACE,屏幕上也应显示AA,以上过程执行效果与编程执行效果完全相同。 注:SX是实验机对外部数据空间读写命令。 4.本例中,62256片选接地时,存储器空间为0000~7FFFH。 五.实验程序框图 实验示例程序流程框图如下: 六.实验源程序: ORG 0000H LJMP START ORG 0040H START:

计算机组成原理第1章习题与答案

计算机组成原理第1章习题与答案 一、选择题 1.从器件角度看,计算机经历了五代变化。但从系统结构看,至今绝大多数计算机仍属于()计算机。 A.并行 B.冯·诺依曼 C.智能 D.串行 2.冯·诺依曼机工作的基本方式的特点是()。 A.多指令流单数据流 B.按地址访问并顺序执行指令 C.堆栈操作 D.存贮器按内容选择地址 3.在下面描述的汇编语言基本概念中,不正确的表述是()。 A.对程序员的训练要求来说,需要硬件知识 B.汇编语言对机器的依赖性高 C.用汇编语言编写程序的难度比高级语言小 D.汇编语言编写的程序执行速度比高级语言慢 4.(2009年考研题)冯·诺依曼计算机中指令和数据均以二进制形式存放在存储器中,CPU区分它们的依据是()。 A.指令操作码的译码结果 B.指令和数据的寻址方式 C.指令周期的不同阶段 D.指令和数据所在的存储单元 5.(2011年考研题)下列选项中,描述浮点数操作速度指标的是()。 A.MIPS B.CPI C.IPC D.MFLOPS 6.(2012年考研题)基准程序A在某计算机上的运行时间为100秒,其中90秒为CPU时间,其它时间忽略不计。若CPU速度提高50%,I/O速度不变,则基准程序A所耗费的时间是()秒。 A.55 B.60 C.65 D.70 7.(2013年考研题)某计算机主频为1.2 GHz,其指令分为4类,它们在基准程序中所占比例及CPI如下表所示。 该机的MIPS数是()。 A.100 B.200 C.400 D.600

8.(2014年考研题)程序P在机器M上的执行时间是20s,编译优化后,P 执行的指令数减少到原来的70%,而CPI增加到原来的1.2倍,则P在M上的执行时间是()。 A.8.4秒 B.11.7秒 C.14秒 D.16.8秒 9.(2015年考研题)计算机硬件能够直接执行的是()。 Ⅰ.机器语言程序Ⅱ.汇编语言程序Ⅲ.硬件描述语言程序 A.仅Ⅰ B.仅ⅠⅡ C.仅ⅠⅢ D.ⅠⅡⅢ 二、名词解释 1.吞吐量2.响应时间3.利用率 4.处理机字长5.总线宽度6.存储器容量 7.存储器带宽8.主频/时钟周期9.CPU执行时间 10.CPI 11.MIPS 12.FLOPS 三、简答题 1.指令和数据均存放在内存中,计算机如何区分它们是指令还是数据? 2.冯·诺依曼计算机体系结构的基本思想是什么?按此思想设计的计算机硬件系统应由哪些部件组成? 3.计算机系统分为哪几个层次?每层分别用软件还是硬件实现?

存储器管理实验报告.docx

操作系统实验报告 存储器管理 学院电信学院 专业计算机科学与技术 班级 14级计科一班 实验题目动态分区分配 实验组别第三组 指导老师曹华

一、实验目的 了解动态分区分配方式中使用的数据结构和分配算法,并进一步加深对动态分区存储管理方式及其实现过程的理解。 二、实验内容 用C语言分别实现采用首次适应算法和最佳适应算法的动态分区分配过程alloc()和回收过程free()。其中,空闲分区通过分区链来管理,在进行内存分配时,系统优先使用空闲区低端的空间。 请分别用首次适应算法和最佳适应算法进行内存块的分配和回收,要求每次分配和回收后显示出空闲内存分区链的情况。 三、实验主要仪器设备 软件环境:VC++6编程环境 四、实验原理及设计方案 1.实验原理: 可变分区调度算法有:最先适应分配算法,循环首次适应算法,最佳适应算法,最坏适应算法。 首次适应算法(First-fit):当要分配内存空间时,就查表,在各空闲区中查找满足大小要求的可用块。只要找到第一个足以满足要求的空闲块就停止查找,并把它分配出去; 如果该空闲空间与所需空间大小一样,则从空闲表中取消该项;如果还有剩余,则余下的部分仍留在空闲表中,但应修改区分大小和分区始址。 用户提出内存空间的申请:系统根据申请者的要求,按照一定的分配策略分析内存空间的使用情况,找出能满足请求的空闲区,分给申请者;当程序执行完毕或主动归还内存资源时,系统要收回它所占用的内存空间或它归还的部分内存空间。 最佳适应算法(Best-fit):当要分配内存空间时,就查找空闲表中满足要求的空闲块,并使得剩余块是最小的。然后把它分配出去,若大小恰好合适,则直按分配;若有剩余块,则仍保留该余下的空闲分区,并修改分区大小的起始地址。 内存回收:将释放作业所在内存块的状态改为空闲状态,删除其作业名,设置为空,并判断该空闲块是否与其他空闲块相连,若释放的内存空间与空闲块相连时,则合并为同一个空闲块,同时修改分区大小及起始地址。 每当一个进程被创建时,内存分配程序首先要查找空闲内存分区链,从中寻找一个合适的空闲块进行划分,并修改空闲内存分区链,系统根据回收区的首址,从空闲区链中找到相应的插入点,此时出现如下四种情况: (1)回收区与插入点的前一个空闲区F1相邻接,此时可将回收区直接与F1合并,并修改F1的大小; (2)回收区与插入点的后一个空闲分区F2相邻接,此时可将回收区直接与F2合并,并用回收区的首址作为新空闲区的首址,大小为二者之和; (3)回收区同时与插入点的前后两个空闲分区邻接,此时需将三者合并; (4)回收区不与任何一个空闲区邻接,此时应建一新的表项 2.主要数据结构的说明 定义一个空闲区说明表结构

静态存储器实验报告

静态随机存储器实验 组员: 组号:21组 日期:周二5、6节

【实验目的】 掌握静态随机存储器RAM工作特性及数据的读/写方法。 【实验设备】 实验仪一台、PC机一台(观察波形) 【实验原理】 由一片6116(2K x 8)芯片、一片8位锁存器(74LS273)、一片8位三态门(74LS245)构成存储器原理图。 存储器实验原理图 由于存储器地址是由数据开关(input device)锁存在(273),存储器写数据也是由数据开关提供的,因此要分时给出地址和写数据。 因地址寄存器为8 位,所以接入6116 的地址为A7~A0,而高三位A8~A10 接地,所以其实际容量为256 字节。6116 有三个控制线:CE(片选线)、OE(读线)、WE(写线)。当片选有效(CE=0)时,OE=0 时进行读操作,WE=0 时进行写操作。本实验中将OE 常接地,在此情况下,当CE=0、WE=0 时进行读操作,CE=0、WE=1 时进行写操作,其写时间与T3 脉冲宽度一致。 实验时将T3 脉冲接至实验板上时序电路模块的TS3 相应插孔中,其脉冲宽度可调,其它电平控制信号由“SWITCH UNIT”单元的二进制开关模拟,其中SW-B 为低电平有效,LDAR 为高电平有效。 【实验步骤】 (1) 形成时钟脉冲信号T3。具体接线方法和操作步骤如下: ①接通电源,用示波器接入方波信号源的输出插孔H23,调节电位器W1 及W2 ,使H23 端输出 实验所期望的频率及占空比的方波。 ②将时序电路模块(STATE UNIT)单元中的ф和信号源单元(SIGNAL UNIT)中的H23 排针相连。 ③在时序电路模块中有两个二进制开关“STOP”和“STEP”。将“STOP”开关置为“RUN”状

存储器扩展实验

实验5 存储器扩展实验 一、实验目的 1.掌握PC存储器扩展的方法。 2.熟悉6264芯片的接口方法。 3.掌握8031内部RAM和外部RAM的数据操作 二、实验设备 PC机、星研Star16L仿真器系统+仿真头PODPH51(DIP)、EL-Ⅱ型通用接口板实验电路,PROTEUS仿真软件。 三、实验内容 1)向外部存储器的7000H到8000H区间循环输入00~0FFH数据段。设置断点,打开外部数据存储器观察窗口,设置外部存储器的窗口地址为7000H—7FFFH。全速运行程序,当程序运行到断点处时,观察7000H—7FFFH的内容是否正确。 四、实验原理 实验系统上的两片6264的地址范围分别为:4000H~5FFFH,6000H~7FFFH,既可作为实验程序区,也可作为实验数据区。6264的所有信号均已连好。(3000H~3FFFH也可用) 五、实验方法 1、运用PROTUES软件进行虚拟仿真实验。按照实验要求用PROTUES软件绘制电路,编制程序,并通过调试。 2、运用星研仿真系统进行实际系统仿真实验。将星研仿真器与微机和目标板相互连接构成完整的硬件仿真系统,按照实验要求在通用实验板上进行硬件系统连接,并用星研仿真器进行系统仿真运行调试。 3、实验说明 在采用星研仿真时,若CPU选型为8051则,应将P2、P3口修改为总线模式(默认为IO口模式)。若为8031CPU则无此选项,因此不必修改。 4、星研仿真器设置时,注意,在项目工作环境设置选项中的存储器借出方式中,不能借用仿真器的外部数据空间(直接选择默认方式即可),否则无法正确测试实验箱上的存储器。 5、利用星研仿真器,在选择用户板外部RAM方式下,可以在存储器窗口中,通过直接对外部存储器单元的内容进行修改来确定该单元是否可用,可以修改的单元,表明用户可用,如果无法修改(无论键盘输入任何数字与字符,始终显示FF),则表明该存储单元不可用。 六、实验电路 1、PROTEUS 仿真电路

实验一 存储器实验

实验一存储器实验 1.FPGA中LPM_ROM定制与读出实验 一.实验目的 1、掌握FPGA中lpm_ROM的设置,作为只读存储器ROM的工作特性与配置方法。 2、用文本编辑器编辑mif文件配置ROM,学习将程序代码以mif格式文件加载于 lpm_ROM中; 3、在初始化存储器编辑窗口编辑mif文件配置ROM; 4、验证FPGA中mega_lpm_ROM的功能。 二.实验原理 ALTERA的FPGA中有许多可调用的LPM (Library Parameterized Modules)参数化的模块库,可构成如lpm_rom、lpm_ram_io、lpm_fifo、lpm_ram_dq的存储器结构。CPU 中的重要部件,如RAM、ROM可直接调用她们构成,因此在FPGA中利用嵌入式阵列块EAB 可以构成各种结构的存储器,lpm_ROM就是其中的一种。lpm_ROM有5组信号:地址信号address[ ]、数据信号q[ ]、时钟信号inclock、outclock、允许信号memenable,其参数都就是可以设定的。由于ROM就是只读存储器,所以它的数据口就是单向的输出端口,ROM中的数据就是在对FPGA现场配置时,通过配置文件一起写入存储单元的。图3-1-1中的lpm_ROM有3组信号:inclk——输入时钟脉冲;q[23、、0]——lpm_ROM的24位数据输出端;a[5、、0]——lpm_ROM的6位读出地址。 实验中主要应掌握以下三方面的内容: ⑴ lpm_ROM的参数设置; ⑵ lpm_ROM中数据的写入,即LPM_FILE初始化文件的编写; ⑶lpm_ROM的实际应用,在GW48_CP+实验台上的调试方法。 三.实验步骤 (1)用图形编辑,进入mega_lpm元件库,调用lpm_rom元件,设置地址总线宽度address[] 与数据总线宽度q[],分别为6位与24位,并添加输入输出引脚,如图3-1-1设置与连接。 (2)设置图3-1-1为工程。 (3)在设置lpm_rom数据参数选择项lpm_file的对应窗口中(图3-1-2),用键盘输入 lpm_ROM配置文件的路径(rom_a、mif),然后设置在系统ROM/RAM读写允许,以便能

实习五虚拟存储器实验报告

实习五虚拟存储器 一、实习内容 模拟分页式虚拟存储管理中硬件的地址转换和缺页中断,以及选择页面调度算法处理缺页中断。 二、实习目的 在计算机系统中,为了提高主存利用率,往往把辅助存储器(如磁盘)作为主存储器的扩充,使多道运行的作业的全部逻辑地址空间总和可以超出主存的绝对地址空间。用这种办法扩充的主存储器称为虚拟存储器。通过本实习帮助同学理解在分页式存储管理中怎样实现虚拟存储器。 三、实习题目 本实习有三个题,其中第一题必做,第二、第三题中可任选一个。 第一题:模拟分页式存储管理中硬件的地址转换和产生缺页中断。 [提示]: (1) 分页式虚拟存储系统是把作业信息的副本存放在磁盘上,当作业被选中时,可把作业的开始几页先装入主存且启动执行。为此,在为作业建立页表时,应说明哪些页已在主存,哪些页尚未装入主存,页表的格式为: 其中,标志——用来表示对应页是否已经装入主存,标志位=1,则表示该页已经在主存,标志位=0,则表示该页尚未装入主存。 主存块号——用来表示已经装入主存的页所占的块号。 在磁盘上的位置——用来指出作业副本的每一页被存放在磁盘上的位置。 (2) 作业执行时,指令中的逻辑地址指出了参加运算的操作数存放的页号和单元号,硬件的地址转换机构按页号查页表,若该页对应标志为“1”,则表示该页已在主存,这时根据关系式: 绝对地址=块号 块长+单元号 计算出欲访问的主存单元地址。如果块长为2的幂次,则可把块号作为高地址部分,把单元号作为低地址部分,两者拼接而成绝对地址。按计算出的绝对地址可以取到操作数,完成一条指令的执行。若访问的页对应标志为“0”,则表示该页不在主存,这时硬件发“缺页中断”信号,由操作系统按该页在磁盘上的位置,把该页信息从磁盘读出装入主存后再重新执行这条指令。 (3) 设计一个“地址转换”程序来模拟硬件的地址转换工作。当访问的页在主存时,则形成绝对地址,但不去模拟指令的执行,而用输出转换后的地址来代替一条指令的执行。当访问的页不在主存时,则输出“*该页页号”,表示产生了一次缺页中断。该模拟程序的算法如图5-1。 (4) 假定主存的每块长度为128个字节;现有一个共七页的作业,其中第0页至第3

存储器和IO扩展实验,计算机组成原理

科技学院 课程设计实验报告 ( 2014--2015年度第一学期) 名称:计算机组成原理综合实验题目:存储器和I/O扩展实验 院系:信息工程系 班级: 学号: 学生姓名: 指导教师:李梅王晓霞 设计周数:一周 成绩: 日期:2015 年1 月

一、目的与要求 1. 内存储器部件实验 (1)熟悉ROM芯片和RAM芯片在功能和使用方法等方面的相同和差异之处;学习用编程器设备向EEPROM芯片内写入一批数据的过程和方法。 (2)理解并熟悉通过字、位扩展技术实现扩展存储器系统容量的方案; (3)了解静态存储器系统使用的各种控制信号之间正常的时序关系; (4)了解如何通过读、写存储器的指令实现对58C65 ROM芯片的读、写操作; (5)加深理解存储器部件在计算机整机系统中的作用。 2. I/O口扩展实验 学习串行口的正确设置和使用。 二、实验正文 1.主存储器实验内容 1.1实验的教学计算机的存储器部件设计(说明只读存储器的容量、随机读写器的容量,各选用了什么型号及规格的芯片、以及地址空间的分布) 在教学计算机存储器部件设计中,出于简化和容易实现的目的,选用静态存储器芯片实现内存储器的存储体,包括唯读存储区(ROM,存放监控程序等) 和随读写存储区(RAM)两部分,ROM存储区选用4片长度8位、容量8KB 的58C65芯片实现,RAM存储区选用2片长度8位、容量2KB的6116芯片 实现,每2个8位的芯片合成一组用于组成16位长度的内存字,6个芯片被分 成3组,其地址空间分配关系是:0-1777h用于第一组ROM,固化监控程序, 2000-2777h用于RAM,保存用户程序和用户数据,其高端的一些单元作为监 控程序的数据区,第二组ROM的地址范围可以由用户选择,主要用于完成扩 展内存容量(存储器的字、位扩展)的教学实验。 1.2扩展8K字的存储空间,需要多少片58C65芯片,58C65芯片进行读写时的特殊要求 要扩展8K字的存储空间,需要使用2片(每一片有8KB容量,即芯片内由8192个单元、每个单元由8个二进制位组成)存储器芯片实现。对 58C65 ROM芯片执行读操作时,需要保证正确的片选信号(/CE)为低点平, 使能控制信号(/OE)为低电平,读写命令信号(/WE)为高电平,读58C65 ROM 芯片的读出时间与读RAM芯片的读出时间相同,无特殊要求;对58C65 ROM 芯片执行写操作时,需要保证正确的片选信号(/CE)为低电平,使能控制信 号(/OE)为高电平,读写命令信号(/WE)为低电平,写58C65 ROM芯片的 维持时间要比写RAM芯片的操作时间长得多。为了防止对58C65 ROM芯片执 行误写操作,可通过把芯片的使能控制引脚(/OE)接地来保证,或者确保读 写命令信号(/WE)恒为高电平。 1.3在实验中思考为何能用E命令直接写58C65芯片的存储单元,而A命令则有时不正确;

实验二:SRAM 静态随机存储器实验

《计算机组成原理》 实验报告 实验二:SRAM 静态随机存储器实验 学院: 专业: 班级学号: 学生姓名: 实验日期: 指导老师: 成绩评定: 计算机学院计算机组成原理实验室

实验二 一、实验名称:SRAM 静态随机存储器实验 二、实验目的: 掌握静态随机存储器RAM工作特性及数据的读写方法。 三、实验内容: 1、向存储器中指定的地址单元输入数据,地址先输入AR寄存器,在地址灯上显示;再将数据送入总线后,存到指定的存储单元,数据在数据显示灯显示。 2、从存储器中指定的地址单元读出数据, 地址先输入AR寄存器,在地址灯显示; 读出的数据送入总线, 通过数据显示灯显示。 四、实验设备: PC机一台,TD-CMA实验系统一套。 五、实验步骤: 1、关闭实验系统电源,按图2-4 连接实验电路,并检查无误,图中将用户需要连接的信号用圆圈标明。 2、将时序与操作台单元的开关KK1、KK3 置为运行档、开关KK2 置为…单步?档。 3、将CON 单元的IOR 开关置为1(使IN 单元无输出),打开电源开关,如果听到有…嘀?报警声,说明有总线竞争现象,应立即关闭电源,重新检查接线,直到错误排除。

图2-4 4、给存储器的00H、01H、02H、03H、04H 地址单元中分别写入数据11H、12H、13H、14H、15H。 由前面的存储器实验原理图(图2-1-3)可以看出,由于数据和地址由同一个数据开关给出,因此数据和地址要分时写入,先写地址,具体操作步骤为:先关掉存储器的读写(WR=0,RD=0),数据开关输出地址(IOR=0),然后打开地址寄存器门控信号(LDAR=1),按动ST 产生T3 脉冲,即将地址打入到AR 中。再写数据,具体操作步骤为:先关掉存储器的读写(WR=0,RD=0)和地址寄存器门控信号(LDAR=0),数据开关输出要写入的数据,

计算机组成原理第1章 习题及参考答案

第一章绪论习题及参考答案 一、判断题 1.微型计算机广阔的应用领域中,会计电算化属于科学计算应用方面。( ) 2.决定计算机计算精度的主要技术指标是计算机的字长。( ) 3.利用大规模集成电路技术把计算机的运算部件和控制部件做在一块集成电路芯片上,这样的一块芯片叫做单片机。( ) 4.计算机“运算速度”指标的含义是指每秒钟能执行多少条操作系统的命令。() 5.兼容性是计算机的一个重要性能,通常是指向上兼容,即旧型号计算机的软件可以不加修改地在新型号计算机上运行。系列机通常具有这种兼容性。() 二、简答题 1.电子数字计算机与电子模拟计算机的主要区别是什么 2.简单描述计算机的发展过程和应用范围。 3.冯·诺依曼机的主要特点是什么 4.按照冯·诺依曼原理,现代计算机应具备哪些功能 5.如何理解软硬件之间的等价性 6.何谓绿色计算机对它有哪些要求 7.简单描述计算机的层次结构,说明各层次的主要特点。 8.计算机系统的主要技术指标有哪些 参考答案 一、判断题 1.错。会计电算化属于计算机数据处理方面的应用。 2.对。 3.错。计算机的运算部件和控制部件做在一块集成电路芯片上,这样的一块芯片叫CPU。 4.错。“运算速度”指标的含义是指每秒钟能执行多少条指令。 5.错。兼容性包括数据和文件的兼容、程序兼容、系统兼容和设备兼容,微型计算机通常具有这种兼容性。 二、简答题 1.电子数字计算机的运算对象是离散的数字量,用数码进行运算,其运算结果也是离散的数字量;电子模拟计算机的运算对象是连续变化的物理量(如电流、电压等),其运算结果也是连续变化的物理量。数字计算机的运算速度快,运算精度高。现代所说的计算机都是电子数字计算机。 2.从1946年世界上第一台数字电子计算机ENIAC研制成功至今,计算机的发展经历了4个时

数据库存储器与触发器实验报告

南昌航空大学实验报 二0 一七年5月3日 课程名称:数据库概论实验名称:存储器与触发器 班级: XX X 姓名:XXX 同组人: 指导教师评定:________________________________________ 签名:__________________ 一、实验环境 1. Windows2000或以上版本; 2. SQLServer2000 或2005。 二、实验目的 1. 掌握存储过程的创建,修改,使用,删除; 2. 掌握触发器的创建,修改,使用,删除。 三、实验步骤及参考源代码 1.创建过程代码: CREATEPROCEDURI_P_Proc( @ccna varchar (10), @cnochar (4) OUTPU,T@cna varchar (10) OUTPU,T@pnavarchar (20) OUTPU,T@numint OUTPUT

AS SELECT@cna=cna, @cno=cp. cno, @pna=pna, @num=num FROMcp , customer , paper WHEREcustomer . cno=cp. cno ANDpaper . pno=cp. pno ANDcna =@ccna; 6.执行存储过程C_P_Pro,实现对李涛,钱金浩等不同顾客的订阅信息查询 execute C_P_Proc @nam=e' 李涛' execute C_P_Proc @nam=e' 钱金浩' 7,删除存储过程C_P_Prcc DROPPROCEDURCE_P_PROC (4)在DingBao数据库中针对PAPER创建插入触发器TR_PAPER_I删除触发器TR_PAPER_D修改触发器TR_PAPER_J具体要求如下。 <1>对PAPER的插入触发器:插入报纸记录,单价为负值或为空时,设定为10 元。 CREATE TRIGGER TR_PAPER_I ON paper FOR INSERT AS DECLARE @ippr FLOAT; declare @ipno int;

存储器实验报告

计组实验三实验报告 实验日期:2015 年4 月14 日学号:201308010227 姓名:吴晗 实验名称:存储器实验总分: 一.实验内容 1.随机存储器RAM的工作特性及使用方法 2.RAM数据存储和读取的工作原理 3.LPM类存储元件的定制 二.实验原理 原理图:

三.实验电路图: RAM电路图: addr[7..0]:地址输入 clk:时钟信号 we,rd;mem:控制信号,高电平有效 Initial_file.mif文件: 存储器电路图: i[7..0]:数据输入l[7..0]:总线数据 sw_bus:pc_bus:三态门控制信号 ld161,clr161,pc161:74161控制信号,控制置数,读取,清零,计数 ldar:74273控制信号 w,r,m:RAM控制信号

仿真图: 仿真说明: 时间参数:End Time:2.0us Grid Size:100ns 端口说明: clk:时钟信号 i[7..0]:数据输入l[7..0]:总线数据 sw_bus:pc_bus:三态门控制信号,控制数据输出到总线 ld161,clr161,pc161:74161控制信号,控制置数,读取,清零,计数 ldar:74273控制数据从总线读入 w,r,m:RAM控制信号 仿真说明: 0-100ns:无操作 100-200ns:sw_bus为0有效,从i读入01,74161置数状态,RAM默认状态,总线

数据01 200-300ns:sw_bus为0有效,pc_bus无效,从i读入01,74161保持状态,RAM默认状态,总线数据01 300-400ns:pc_bus为0有效,sw_bus无效,74161保持状态,RAM默认状态,总线数据01,ldar为1,跳入下一个地址 400-500ns:sw,pc_bus无效,74161保持状态,RAM读取状态,读取E1,总线E1 500-600ns:sw,pc_bus无效,74161保持状态,RAM读取状态,读取D2,总线D2 600-700ns:sw,pc_bus无效,74161保持状态,RAM写入状态,总线输入EE,写入RAM 700-800ns:sw,pc_bus无效,74161保持状态,RAM读取状态,读取EE,总线EE 800-900ns:pc_bus为0有效,sw_bus无效,74161计数加1状态,RAM默认状态,总线数据01在上升沿时变为02 900-1000ns:pc_bus为0有效,sw_bus无效,74161计数加1状态,RAM默认状态,总线数据02在上升沿时变为03,ldar为1,跳入下一个地址1000-1100ns:sw,pc_bus无效,74161保持状态,RAM读取状态,读取F3,总线EE在上升沿变为F3 1100-1200ns:sw,pc_bus无效,74161保持状态,RAM读取状态,读取F3,总线F3 仿真结论:仿真结果与理论相符,仿真成功 五.硬件验证 管脚分配: 选择FLEX10K-EPF10K20TC144-4器件下载验证与仿真结果相符,实验成功。

实验五_存储器设计

计算机组成原理 实验五《存储器设计》 实验报告 姓名:吴速碘黄紫微 学号:13052053 13052067 班级:计算机二班 日期2015、5、25

实验五存储器设计 一、实验目的 1、掌握RAM和ROM的Verilog语言描述方法; 2、学习用宏模块的方法定制RAM和ROM。 二、实验任务 1、设计并实现一个128*16 的单端口的RAM; 2、设计并实现一个128*16的ROM; 3、设计并实现一个双端口的128*16的RAM 4、设计并实现一个16*32的FIFO。 5、设计并实现正弦信号发生器,见“正弦信号发生器实验指南”。 三、实验步骤 1 编写Verilog代码(见附页) 2功能仿真 进行分析与综合,排除语法上的错误 建立波形仿真文件,输入激励 生成功能仿真网表 进行功能仿真,观察输出结果 3选择器件 DE2_70开发板的使用者请选择EP2C70F896C6 4绑定管脚 5 下载验证 DE2_70开发板的下载:使用USB-Blaster进行下载 四、实验内容 五、实验思考题 1、分析存储器采用三态输出的原因是什么? 存储器的输出端是连接在数据总线上的。数据总线相当于一条车流频繁的大马路,必须在绿灯条件下,车辆才能进入这条大马路,否则要撞车发生交通事故。同 理,存储器中的数据是不能随意传送到数据总线上的。例如,若数据总线上的数 据是“1”(高电平5V),存储器中的数据是“0”(低电平0V),两种数据若碰到一 起就会发生短路而损坏单片机。因此,存储器输出端口不仅能呈现“l”和“0”两 种状态,还应具有第三种状态“高阻"态。呈“高阻"态时,输出端口相当于断开,对数据总线不起作用,此时数据总线可被其他器件占用。当其他器件呈“高阻”态 时,存储器在片选允许和输出允许的条件下,才能将自己的数据输出到数据总线 上。 2、单端口和双端口的区别是什么? 单端口ram是ram的读写只有一个端口,同时只能读或者只能写。 双端口ram是ram读端口和写端口分开,一个端口能读,另一个端口可以同时写。 3、什么情况下考虑采用双端口存储器?

实验一存储器实验

实验一存储器实验 1.FPGA中LPM_ROM定制与读出实验 一.实验目的 1、掌握FPGA中lpm_ROM的设置,作为只读存储器ROM的工作特性和配置方法。 2、用文本编辑器编辑mif文件配置ROM,学习将程序代码以mif格式文件加载于 lpm_ROM中; 3、在初始化存储器编辑窗口编辑mif文件配置ROM; 4、验证FPGA中mega_lpm_ROM的功能。 二.实验原理 ALTERA的FPGA中有许多可调用的LPM (Library Parameterized Modules)参数化的模块库,可构成如lpm_rom、lpm_ram_io、lpm_fifo、lpm_ram_dq的存储器结构。CPU 中的重要部件,如RAM、ROM可直接调用他们构成,因此在FPGA中利用嵌入式阵列块EAB可以构成各种结构的存储器,lpm_ROM是其中的一种。lpm_ROM有5组信号:地址信号address[ ]、数据信号q[ ]、时钟信号inclock、outclock、允许信号memenable,其参数都是可以设定的。由于ROM是只读存储器,所以它的数据口是单向的输出端口,ROM中的数据是在对FPGA现场配置时,通过配置文件一起写入存储单元的。图3-1-1中的lpm_ROM有3组信号:inclk——输入时钟脉冲;q[23..0]——lpm_ROM的24位数据输出端;a[5..0]——lpm_ROM的6位读出地址。 实验中主要应掌握以下三方面的内容: ⑴lpm_ROM的参数设置; ⑵lpm_ROM中数据的写入,即LPM_FILE初始化文件的编写; ⑶lpm_ROM的实际应用,在GW48_CP+实验台上的调试方法。 三.实验步骤 (1)用图形编辑,进入mega_lpm元件库,调用lpm_rom元件,设置地址总线宽度address[]和数据总线宽度q[],分别为6位和24位,并添加输入输出引脚,如图3-1-1设置和连接。 (2)设置图3-1-1为工程。 (3)在设置lpm_rom数据参数选择项lpm_file的对应窗口中(图3-1-2),用键盘输入

相关文档
相关文档 最新文档