文档库 最新最全的文档下载
当前位置:文档库 › 数电实验定时器

数电实验定时器

数电实验定时器
数电实验定时器

9.14 定时器

1.设计任务

定时器是计数器和显示相对接的一个综合应用。基于VHDL语言,用CPLD实现,硬件简单,性能稳定,可以充分体现可编程器件在数字电路中的优越性。

图9-63 总体框图

设计要求:整体清零:可以定时最高到99min;以秒速度递增至预定时间,以分速度递减至零。总体框图如图9-63所示。

clr用来整体复位清零;clk提供了秒信号,频率为1Hz;clky用来扫描输出的,选用频率大于50Hz的方波;set用来置位的,低电平有效,将以秒的速度,从零递增到所需定时的时间;以分的速度递减,直到零,定时结束;alm输出高电平,可启动各种电路或发出警报。时间的变化都将在数码管上显示出来。

2. 模块和模块功能

模块AAA如图9-64所示。它是核心模块,用来实现定时器的模块功能,计数结果用十进制数输出。

library ieee;

use ieee.std_logic_1164.all;

use ieee.std_logic_unsigned.all;

entity aaa is

port(clk,clr,set:in std_logic;

alm:out std_logic;

q1,q0:out std_logic_vector(3 downto 0)); 图9-64 模块AAA end aaa;

architecture aaa_arc of aaa is

begin

process(clk)

variable cnt1,cnt0:std_logic_vector (3 downto 0);

variable cnt :integer range 0 to 59;

begin

if clr='0'then --整体复位

alm<='0';

cnt:=0;

cnt1:="0000";

cnt0:="0000";

elsif clk'event and clk='1'then

if set='0'then --设计数初值

cnt:=0;

if cnt0<"1001"then

cnt0:=cnt0+1;

else

cnt0:="0000";

if cnt1<"1001"then

cnt1:=cnt1+1;

else

cnt1:="0000";

end if;

end if;

else

if cnt<59 then --60分频

cnt:=cnt+1;

else

cnt:=0;

if cnt0>"0000"then

cnt0:=cnt0-1;

if cnt1="0000" and cnt0="0000" then --判断计时是否结束

alm<='1';

end if;

else

cnt0:="1001";

if cnt1>"0000"then

cnt1:=cnt1-1;

else

cnt1:="1001";

end if;

end if;

end if;

end if;

end if;

q0<=cnt0;

q1<=cnt1;

end process;

end aaa_arc;

模块CH如图9-65所示。由于只使用了两只数码管,所以片选信号直接接一个较快的时钟。此模块的功能是对应片选信号,送出要显示的相应数据。

library ieee;

use ieee.std_logic_1164.all;

entity ch is

port(sel:in std_logic;

a1,a0:in std_logic_vector(3 downto 0);

q:out std_logic_vector(3 downto 0));

end ch;

architecture ch_arc of ch is

begin

process(sel,a0,a1)

begin

if sel='1' then

q<=a0;图9-65 模块CH

else

q<=a1;

end if;

end process;

end ch_arc;

模块DISP如图9-66所示。该模块为七段译码器。

library ieee;

use ieee.std_logic_1164.all;

entity disp is

port(a:in std_logic_vector(3 downto 0);

q:out std_logic_vector(6 downto 0));

end disp;

architecture disp_arc of disp is 图9-66 模块DISP

begin

process (a)

begin

case a is

when"0000"=>q<="0111111";

when"0001"=>q<="0000110";

when"0010"=>q<="1011011";

when"0011"=>q<="1001111";

when"0100"=>q<="1100110";

when"0101"=>q<="1101101";

when"0110"=>q<="1111101";

when"0111"=>q<="0000111";

when"1000"=>q<="1111111";

when"1001"=>q<="1101111";

when others=>q<="0000000";

end case;

end process;

end disp_arc;

功能扩展:

修改程序使之具有以下功能:

1.使清零开关具有以下双重功能

(1)将计数状态置于“00”

(2)清除报警信号

2. 设置开关(SET)为0时,从“00”开始向上累加置数,而不是在某个数基础

上累加置数。

数字钟设计报告——数字电路实验报告

数字钟设计实验报告 专业:通信工程 姓名:王婧 班级:111041B 学号:111041226

数字钟的设计 目录 一、前言 (3) 二、设计目的 (3) 三、设计任务 (3) 四、设计方案 (3) 五、数字钟电路设计原理 (4) (一)设计步骤 (4) (二)数字钟的构成 (4) (三)数字钟的工作原理 (5) 六、总结 (9) 1

一、前言 此次实验是第一次做EDA实验,在学习使用软硬件的过程中,自然遇到很多不懂的问题,在老师的指导和同学们的相互帮助下,我终于解决了实验过程遇到的很多难题,成功的完成了实验,实验结果和预期的结果也是一致的,在这次实验中,我学会了如何使用Quartus II软件,如何分层设计点路,如何对实验程序进行编译和仿真和对程序进行硬件测试。明白了一定要学会看开发板资料以清楚如何给程序的输入输出信号配置管脚。这次实验为我今后对 EDA的进一步学习奠定了更好的理论基础和应用基础。 通过本次实验对数电知识有了更深入的了解,将其运用到了实际中来,明白了学习电子技术基础的意义,也达到了其培养的目的。也明白了一个道理:成功就是在不断摸索中前进实现的,遇到问题我们不能灰心、烦躁,甚至放弃,而要静下心来仔细思考,分部检查,找出最终的原因进行改正,这样才会有进步,才会一步步向自己的目标靠近,才会取得自己所要追求的成功。 2

二、设计目的 1.掌握数字钟的设计方法。 2熟悉集成电路的使用方法。 3通过实训学会数字系统的设计方法; 4通过实训学习元器件的选择及集成电路手册查询方法; 5通过实训掌握电子电路调试及故障排除方法; 6熟悉数字实验箱的使用方法。 三、设计任务 设计一个可以显示星期、时、分、秒的数字钟。 要求: 1、24小时为一个计数周期; 2、具有整点报时功能; 3、定时闹铃(未完成) 四、设计方案 一个基本的数字钟电路主要由译码显示器、“时”,“分”,“秒”计数器和定时器组成。干电路系统由秒信号发生 3

数字定时器资料

电子系统设计 数字定时器 实验报告 学校:苏州大学 学院:城市轨道交通学院 班级:通信工程 组员:张强强朱宇翔肖伟健吴易洲

前言 在电子技术飞速发展的今天,电子产品逐渐趋向人性化和智能化。人们人们为了实现这一目的而引入了单片机。单片机又称单片微型计算机,也称为微控制器,是微型计算机的一个重要分支,单片机是20世纪70年代中期发展起来的一种大规模集成电路芯片,是集CPU,RAM,ROM,I/O接口和中断系统于同一硅片上的器件。单片机的诞生标志着计算机正式形成了通过计算机系统和嵌入式计算机系统两个分支。目前单片机已渗透到我们生活的各个领域,几乎很难找到哪个领域没有单片机的踪迹。单片机已在广阔的计算机应用领域中表现得淋漓尽致电器因此,单片机已成为电子类工作者必须掌握的专业技术之一。 这次实验,我们组是以单片机为核心设计一个数字定时器。在实验过程中,我们开始了解电系统设计的和基本理念,基本规则和基本流程;在不断完善设计的过程中,我们逐步丰富和巩固自己的理论知识,培养积极思考解决问题的习惯并充分地发挥自己动手实验操作的能力。 可以说这次实验将我们所学的《单片机原理与应用》以及《电子系统设计》两门课程进行了有机的结合。通过解决实际问题,我们对原理有了更深刻的理解,对于应用有了更广泛的接触。另外实验中我们学会使用Proteus和Keil两款软件进行单片机电路的仿真以及程序的编写及联调。这些都为我们以后的课程设计乃至工作研究奠定了厚实的基础。 这次的实验中,我们以单片机实现计时和倒计时功能,由LED 显示剩余时间,显示格式为 XX(分),精确到1分的整数倍。虽然接触到的功能模块较多,包括接口模块、中断模块、存储模块、控制模块和显示模块等,但仍然只是单片机这门学问的皮毛,在以后的学习中我们还需要不断汲取知识,不断地将理论与实践结合。 本次实验有本小组4位组员共同完成,张强强负责,朱宇翔负责,吴易洲负责,肖伟健负责。 编者注

电子抢答器数电课程设计

设计题目:智力竞赛电子抢答器 设计要求及技术指标: 1、抢答器电路分为抢答电路设计和必答电路设计。 2、抢答电路设计具体要求: (1)抢答组数分为四组,序号为1~4。 (2)优先判断与指示电路设计。 (3)主持人清除上次抢答结果的电路设计。 (4)主持人给选手打分电路与选手得分累计显示电路设计。 3、必答电路设计具体要求: (1)30秒必答倒计时电路设计。 (2)超时短暂报警。 第 1 章电路设计简介 1 原理电路设计: 1.1 电路总体工作框图 图1:课程设计的总体框图

整个电路框图如上图所示,主要可分为三个部分,第一部分为四路选手抢搭电路,这部分电路主要采用了四个74LS595来实现四位选手仅选可选通一路的功能实现,并结合使用多个四路与非门(即74LS10)来实现其对计时电路的控制。第二部分为计时电路,其通过两个级联的74LS161与555定时器来实现计时功能,并通过其对于声音警示电路控制。第三部分为选手计分电路,需用了可加可减的74LS192来实现对于选手的加减积分功能。整个电路在学校提供的开发板上,其中所需使用的LED灯、按钮按照开发板作相应的调整。 第 2 章硬件电路的组成 1电路工作原理 当第一位选手按下按钮,74LS373锁存器构成的选通电路就会将低电平传至二极管led的负极,此时若有其他选手再按下按钮,其会导致74LS373处于锁存数据状态,从而使已经亮的小灯不会受到其他选手按钮的影响,另一方面已经选通一路会通过锁存器阻止其他路数据的传入。另一方面四位按钮通过控制74LS161来实现对计时电路的控制,以使得当有选手按下按钮时计时才开始。 计时电路由两个异步清零的模十计数器构成,对其清零通过对于其清零端与使能端置低电平完成,而两位已内置好74LS48的数码管通过与74LS161的输出管脚来实现时间的显示。因为电路要求30秒计时,所以使用计时十位的74LS161的低两位作为是否到达30s的判断信号,当Q1、Q0均为1的时候就是到达30s,此时通过与非门输出来控制扬声器以及停止计时。 选手计分电路通过使用可加可减的74LS192来完成,当其5管脚为上升沿是,其数值加一;而当4管脚为上升沿时,其数值减一。而上升的产生则通过使用电源、地与一个按钮完成。选手分数的显示通过使74LS192的输出管脚与已内置好74LS48的数码管相连来完成。 总体电路的设计思路如上,后期搭建电路过程中依据实际情况做了小幅调整,当整体思路未变。 1.1总体电路原理图如下:

摩托车电子速度表电路设计

摩托车电子速度表电路设计 摘要 如今,随着科学技术的发展,电子技术在日常生活和社会生活中已得到了广泛的应用。特别是微电子技术的飞跃发展,更为设计、直观和性能优越、功能全的装置创造了良好的条件。可以说,电子技术的应用水平是现代化进程的一个重要标准。 目前,数字电子技术已经广泛地应用于计算机、电子测量仪表、通信等各个领域。例如在现代测量技术中,数字测量仪表不仅比模拟仪表精度高,而且容易实现测量的自动化和智能化。随着科学技术的迅速发展,要求我们理论联系实际,电子技术课程设计的进行使我们有一个难得的机会,通过它可以得到更好的锻炼。 这次课程设计的目的主要是对我们所学知识的加深和巩固,并加强了我们的自主动手能力。在日常生活中我们可以发现摩托车已经成为人们必不可少的工具,所以摩托车电子表的设计可以给人们带来更多的方便和安全。 关键词:计数器,译码器,显示器,CD4511,CD4553

目录 1绪论 (1) 1.1课题描述 (1) 2相关芯片及硬件电路设计 (1) 2.1CD4511芯片 (1) 2.1.1CD4511功能特性 (1) 2.1.2CD4511的各引脚介绍 (1) 2.1.3CD4511芯片应用 (3) 2.2CD4553芯片 (4) 2.2.1 CD4553功能特性 (4) 2.2.2 CD4553各引脚介绍 (4) 2.2.3CD4553的相关应用 (7) 2.3CD40106芯片 (7) 2.3.1CD40106的功能特性 (7) 2.3.2 CD40106的各引脚介绍 (7) 2.4速度检测电路 (8) 2.5计数译码及显示电路 (9) 2.6时基闸门发生器 (9) 2.7摩托车电子速度表设计 (10) 总结 (11) 致谢 (12) 参考文献 (13)

数电课程设计抢答器

数电课程设计——抢答器 1220223 16 左杉2014.6.25 设计目的 掌握四人智力竞赛抢答器电路的设计方法。 设计任务与要求 (1)4名选手编号为:1,2,3,4。各有一个抢答按钮S0 ~ S4表示。,按钮的编号与选手的编号对应。 (2)给主持人设置一个控制按钮,用来控制系统清零(抢答显示数码管灭灯)和抢答的开始控制开关S。 (3)抢答器具有数据锁存和显示的功能。抢答开始后,若有选手按动抢答按钮,该选手编号立即锁存,并在抢答显示器上显示该编号,同时扬声器给出音响提示,封锁输入编码电路,禁止其他选手抢答。抢答选手的编号一直保持到主持人将系统清零为止。 一.抢答器的基本功能 1.设计一个抢答器,可同时供四名选手或四个代表队参加比赛,编号为一,二,三,四,各用一个抢答按钮,分别用四个开关表示。 2.给节目主持人设置一个控制开关,用来控制系统的清零(编号显示数码管灭灯)和抢答的开始。

3.抢答器具有数据锁存和显示的功能,抢答开始后,若有选手按动抢答按钮,编号立即锁存,并在LED数码管上显示出选手的编号,并且给出出声响提示,封锁输入电路,实现优先锁存,禁止其他选手抢答,优先抢答选手的编号一直保持到主持人将系统清零为止。 二.使用元件 芯片:74ls75,74ls147,74ls48,74ls08,74ls04,74ls00 其他器件:5个单刀单掷开关,一个7段数码管,一个蜂鸣器,一个三极管,导线若干。 三.具体原理为 1)抢答器电路 该部分主要是由74LS75实现的,此元件是低端有效。当四个选手抢答时,输入的信号为“1”,用74LS20将这四个选手在75芯片对应的非输出端与主持人的主控开关相与非,接入75的使能端(E0/1和E2/3),这样就通过“0”,把75锁定,完成锁定功能。

数字电路课程设计弹道设计

淮海工学院 课程设计报告书 课程名称:电子技术课程设计(二)题目:弹道计时器设计 系(院): ////// 学期: 2010-2011-1 专业班级: 88 姓名: 999999 学号: 555555

一、所选课题: 弹道计时器的设计 二、任务与要求 设计一个用来测量手枪子弹等发射物速度的便携式电池供电计时器,这种计时器可用来测定子弹或其他发射物的速度。竞赛射手通常用这种设备来测定装备的性能。 基本操作要求是:射手在两个分别产生起始测量脉冲和终止测量脉冲的光敏传感器上方射出一个发射物,两个光传感器(本例中假定为阴影传感器)分开放置,两者之间的距离已知。发射物在两个传感器之间的飞行时间直接与发射物的速度成正比。如下图所示,当子弹等发射物从上方经过起始传感器产生ST 信号,经过终止传感器时产生SP 信号。传感器之间的距离是固定的。通过测量子弹等发射物经过传感器之间的时间T 就可计算出子弹的速度V=S/t 。 图1 三、方案制定 使用中规模集成电路设计弹道计时器。此方案中主要用到555定时器、十进制计数器、译码器、七段数码管以及一些小型门电路和触发器等。 四、弹道计时器的原理 运用中规模集成电路设计本课题要分为一下几点: (1)传感器对计数器的控制。 在传感器的选择上,要注意传感器的输出信号能否直接控制下一级电路。此论文中采用天幕靶控制计数器的工作与停止。天幕靶是一种光电传感器,它能将光信号转变成电信号,在子弹遮蔽第一个天幕靶时,即会产生一个脉冲,此脉冲带动计数器工作,在子弹遮蔽下一个天幕靶时又产生一个脉冲,让计数器停止工作。若将此脉冲作为使能信号, 就必须使其从子弹到达第一个天幕靶一直维持到 起始传感器 终止传感器 阳光 弹道

Verilog数字钟数电实验报告

专业:电子信息工程班级:电信1305班日期:2015.5.5 第3次实验 姓名:康健组别: 6 指导教师:成绩: 实验课题:EDA多功能数字钟 1、已知条件 Quartus II软件、FPGA实验开发装置。 2、主要技术指标 以数字形式显示时、分、秒的时间;小时计数器为同步24进制;要求手动校时、校分。 3、实验用仪器 PC、FPGA开发板、示波器、稳压电源等 4、电路工作原理 所谓的时钟,其实本质上就是计数器。以开发板上的晶振时钟作为时间基准。 然后通过分频模块(计数器)进行分频,得到1Hz的脉冲信号作为秒的信号脉冲,然后用模60的计数器构成秒的计数单元。每记60下就自动清零且产生进位信号。将这个进位信号作为分的计数器的使能信号,其中,分计数器也是模为60的计数器。这里的计数器都是由模10和模6 组成的BCD码的计数器。个位和十位分别是一个四位的数字。同理,每记满60,分计数器就会产生一个进位信号,这个进位信号作为小时的使能信号。小时的计数器就是模24的BCD计数器。注意,这里的整个电路都是用1HZ的频率作为时间脉冲的,也就是说,这个电路是同步时序的电路。通过使能,来控制各个部分的时序逻辑。将小时和分的使能信号在总是为有效电平和下一级进位信号做选择,就是时钟调时状态和正常计时状态的切换。当在调时状态的时候,时钟每完成一个周期,无论是分钟还是小时,就向前加1,。最后,将分钟和小时通过译码器连接到数码管。将秒直接连接到LED灯,完成整个工程的基本功能(扩展功能见选作的实验报告)。 5、电路设计与调试 1、模10计数器的设计

2、模6计数器的设计 3、模60计数器设计(分、秒计数) 4、模24计数器设计(小时计数)

数电课程设计(抢答器)

课程设计任务书 学生姓名:专业班级: 指导教师:工作单位:信息工程学院 题目: 多路数字定时抢答器设计 初始条件: 本课程设计,要求用集成电路:74LSl48,74LS279,74LS48,74LSl92,NE555,74LS00,74LSl21和其它器件等,实现八路定时抢答功能。用蜂鸣器作声电器件,工作电源Vcc为+5V。 要求完成的主要任务:(包括课程设计工作量及其技术要求,以及说明书撰写等具体要求) 1、课程设计工作量:1周内完成对多路数字定时抢答器的设计、仿真、装配与调试。 2、技术要求: ①可同时供8名选手(或代表队)参赛,其编号分别是0到7,各用一个抢答按钮,按钮的 编号与选手的编号相对应。给节目主持人设置一个控制开关,用来控制系统的清零(编号显示数码管灭灯)和抢答的开始。 ②抢答器具有数据锁存和显示的功能。抢答开始后,若有选手按动抢答按钮,编号立即锁存, 并数码管上显示选手的编号,同时扬声器给出声音提示;同时封锁输入电路,禁止其它选手抢答。 优先抢答选手的编号一直保持到主持人将系统清零为止。 ③抢答器具有定时抢答的功能,且一次抢答的时间可以由主持人设定(如30秒)。当主持人启 动“开始”键后,要求定时器立即进行减计时,并用显示器显示,同时扬声器发出短暂的声响,声响持续时间0.5秒左右。 ④参赛选手在设定的时间内进行抢答,抢答有效,定时器停止工作,显示器上显示选手的编号 和抢答时刻的时间,并保持到主持人将系统清零为止;如果定时抢答的时间已到,而没有选手抢答时,本次抢答无效,系统进行短暂的报警,并封锁输入电路,禁止选手超时后抢答,定时显示器上显示00。 ⑤确定设计方案,按功能模块的划分选择元、器件和中小规模集成电路,设计分电路,画出 总体电路原理图,阐述基本原理。 3、查阅至少5篇参考文献。按《武汉理工大学课程设计工作规范》要求撰写设计报告书。全 文用A4纸打印,图纸应符合绘图规范。 指导教师签名:年月日 系主任(或责任教师)签名:年月日

数字逻辑电路课程设计数字钟

数字逻辑课程设计 数字钟 姓名: 学号: 班级:物联网工程131班 学院:计算机学院 2015年10月10日

一、任务与要求 设计任务:设计一个具有整点报时功能的数字钟 要求: 1、显示时、分、秒的十进制数字显示,采用24小时制。 2、校时功能。 3、整点报时。 功能: 1、计时功能: 要求准确计时,以数字形式显示时、分、秒的时间。小时的计时要求为“12翻1”。 2、校时功能: 当数字钟接通电源或者计时出现误差时,需要校正时间(简称校时)。校时是数字钟应具备的基本功能,一般电子手表都具有时、分、秒等校时功能。为使电路简单,这里只进行分和小时的校时。对校时电路的要求是:在小时校正时不影响分和秒的正常计数;在分校正时不影响秒和小时的正常计数。校时方式有“快校时”和“慢校时”两种。“快校时”是通过开关控制,使计数器对1Hz的校时脉冲计数。“慢校时”是用手动产生单脉冲作校时脉冲。 3、整点报时: 每当数字钟计时快要到整点时发出声响;通常按照4低音1高音的顺序发出间断声响;以最后一声高音结束的时刻为整点时刻。 二、设计方案 电路组成框图: 主体电路 扩 展 电 路时显示器 时译码器 时计数器 分显示器 分译码器 分计数器 校时电路 秒显示器 秒译码器 秒计数器 定时控制 仿电台报时 报整点时数

数字钟电路是一个典型的数字电路系统,其由时、分、秒计数器以及校时和显示电路组成。其主要功能为计时、校时和报时。利用60进制和12进制递增计数器子电路构成数字钟系统,由2个60进制同步递增计数器完成秒、分计数,由12进制同步递增计数器完成小时计数。秒、分、时之间采用同步级联的方式。开关S1和S2分别是控制分和时的校时。报时功能在此简化为小灯的闪烁,分别在59分51秒、53秒、55秒、57秒及59秒时闪烁,持续的时间为1秒。 三、设计和实现过程 1.各元件功能 74LS160:可预置BCD异步清除器,具有清零与置数功能的十进制递增计数器。 74LS00:二输入端四与非门 74LS04:六反相器 74LS08:二输入端四与门 74LS20:四输入端双与非门 2.各部分电路的设计过程 (1)时分秒计数器的设计 时间计数电路由秒个位和秒十位计数器、分个位和分十位计数器及时个位和时十位计数器电路构成,其中秒个位和秒十位计数器、分个位和分十位计数器为60进制计数器,而根据设计要求,时个位和时十位计数器为12进制计数器。 秒/分钟显示电路:由于秒钟与分钟的都是为60进制的,所以它们的电路大体上是一样的,都是由一个10进制计数器和一个6进制计数器组成;有所不同的是分钟显示电路中的10进制计数器的ENP和ENT引脚是由秒钟显示电路的进位信号控制的。 分和秒计数器都是模M=60的计数器,其计数规律为00—01—…—58—59—00…。可选两片74LS160设计较为简单。 时计数器是一个“12翻1”的特殊进制计数器,即当数字钟运行到12时59分59秒时,秒的个位计数器再输入一个秒脉冲时,数字钟应自动显示为01时00分00秒,实现日常生活中习惯用的计时规律。可选两片74LS160设计。

数字定时器资料

北京理工大学2012级电子技术课程设计 数字定时器

第1章概述 1.1设计目的 1、学习掌握电子技术基础知识和具体电路设计能力; 2、熟练掌握EDA仿真的使用方法; 3、增强学生的实践能力和遇到各种问题后寻找问题原因、解决问题的能力。 1.2设计要求 1、计时显示范围要求自00时00分00秒到23时59分59秒; 2、具有校时功能,可对小时、分、秒分别进行校准; 3、要求预选时刻到达时被控对象连续响10秒,蜂鸣器在10秒内断续鸣叫5次,即响1秒停1秒。 第2章设计与仿真 2.1工作原理 数字定时器由振荡电路、分频电路、计时电路、数码管显示电路、校时电路和闹钟电路组成。 由振荡电路产生2Hz的方波信号,经分频器产生1Hz和0.5Hz的信号,其中计时电路用1Hz信号,闹钟电路用0.5Hz信号,校时电路用2Hz与1Hz信号。计时电路使用的是6片CD4518和5片CD4028,分秒计数器为60进制,时计数器为24进制,CD4028输出进位脉冲给下一位的CP端。当计数器满24小时时,输出清零信号给各计数器完成清零,重新计数。校时电路由CD4015与一些门电路组成,闹钟电路由门电路和蜂鸣器组成。 2.2分部设计与仿真 2.2.1振荡电路 振荡电路可用555定时器与RC组成的电路实现,如图2-1所示。

图2-1 555定时器RC振荡电路 OUT管脚输出的即为2Hz的方波信号。 2.2.2分频电路 因为需要用到1Hz与0.5Hz的方波信号,所以把74LS160设计成分频器,把振荡电路2Hz的信号接到CLK管脚,则其QA端输出的为1Hz方波信号,QB 端输出的为0.5Hz方波信号,电路如图2-2所示。 图2-2 分频电路

数电课程设计报告-抢答器

课程设计报告 题目数字抢答器设计 课程名称数字电子技术院部名称龙蟠学院 专业自动化 班级M11自动化学生姓名王栋明 学号1121112015指导教师赵国树 金陵科技学院教务处制

目录 第一章设计要求 (5) 第二章总体参考方案 2.1 总体参考方案框图 (5) 2.2 总体参考时序逻辑 (6) 第三章单元电路设计 3.1 定时电路 3.1.1 电路设计 (6) 3.1.2 元件说明 (7) 3.2 开关阵列电路 3.2.1 电路设计 (8) 3.3 门控电路 3.3.1 电路设计 (8) 3.2.2 元件说明 (9) 3.4 编码电路 3.4.1 电路设计 (11) 3.4.2 元件说明 (12) 3.5 报警电路 3.5.1 电路设计 (13) 3.5.2 元件说明 (13) 3.6 显示电路

3.6.1 电路设计 (13) 3.6.2 元件说明 (13) 第四章整体电路图 (15) 第五章各部分功能的实现 5.1 按下S1启动定时30S,开始抢答,LED灯亮 (15) 5.2 某位选手抢答有效 (16) 5.3 清零、灭灯、复位 (16) 第六章心得体会

第一章设计要求 1. 数字抢答器应具有数码锁存、显示功能,抢答组数分为八组,即序号0、1、 2、3、4、5、6、7,优先抢答者按动本组开关,组号立即锁存到LED显示器上,同时封锁其它组号。 2. 系统设置外部清除键,按动清除键,LED显示器自动清零灭灯。 3. 数字抢答器定时为30秒,启动起始键后,要求:①30秒定时器开始工作; ②蜂鸣器要短暂报警;③发光二极管亮灯。 4. 抢答者在30秒内抢答,抢答有效,终止定时;30秒定时到,无抢答者本次抢答无效,系统短暂报警,发光二极管灭灯。 第二章总体参考方案 2.1、总体参考方案框图 2.2、总体参考时序逻辑 它包括定时电路、门控电路、译码显示电路、8线-3线优先编码器、RS锁存器和报警电路等六个部分组成。其中定时电路、门控电路、译码显示电路及8线-3线优先编码器三部分的时序配合尤为重要,当启动外部操作开关(起始键)时,定时器开始工作,同时打开门控电路,输出有效,8线-3线优先编码器等待

数电课程设计题目汇总资料

数电课程设计题目选 一、设计并制作一数字式温度计 〖基本要求〗采用电桥法,利用PT~100热电阻对0~200℃测温范围进行测量并送LED数码管显示,要求测量分辨率为0.1℃,数据测量间隔时间为5秒。 〖提高要求〗1)针对不同的铂热电阻讨论不同的温度信号测量办法 2)利用电路对测温电路进行非线性校正,提高测温精度(电路非线性校正和EPROM查表法非线性校正两种方法) 3)讨论误差的形成因素和减少误差的措施 4)进行简单的温度开关控制 〖参考原理框图〗系统参考原理框图如下: 〖主要参考元器件〗 MCl4433(1),LM324(1),七段数码管(4),CD4511(1),MC1413(1),铂热电阻使用普通 精密电位器代替。 二、十二小时电子钟 〖基本要求〗利用基本数字电路制作小时电子钟,要求显示时分秒;并能实现校时和校分的功能。 〖提高要求〗1)针对影响电子钟走时精度的因素提出改进方案 2)增加日期显示 3)实现倒计时功能 4)整点报时(非语音报时) 5)定时功能 〖参考原理框图〗:

三、电平感觉检测仪 〖基本要求〗:采用光电式摇晃传感器,其检测范围为±90℃,每摇晃一度传感器就输出一个脉冲信号给计数单元,在给定时间内测量到的脉冲数目就能表明该人的电平感觉,测试时采用头戴式传感器、闭上双目,单脚立地:保持静止,开始测试。定时时间为1分钟 〖提高要求〗 〖参考原理、框图〗: 〖主要参考元器件〗CD4060,555,74LS74 四、便携式快速心律计 基本要求〗利用数字电路制作一便携式快速心律计,用于在较短时间内测量脉搏跳动速率:并使用LED显示。 〖提高要求〗1)提高测量精度的方法 2)设计能比较准确测量1S内心跳的电路 〖参考原理框图〗 〖主要参考元器件〗CD4060,4528,4518;4511,14526 五、数字式定时开关 〖基本要求〗设计并制作一数字式定时开关,此开关采用BCD拨盘预置开关时间,其最大定时时间为9秒,计数时采用倒计时的方式并通过一位LED数码管显示。此开关预置时间以后通过另一按钮控 制并进行倒计时,当时间显示为0时,开关发出开关信号,输出端呈现高电平,开关处于开态,再按按钮时,倒计时又开始。计时时间到驱动扬声器报警。 〖提高要求〗l)输出部分加远距离(100m)继电器进行控制 2)延长定时时间 3)探讨提高定时精度的方法 〖参考原理框图〗 〖主要参考元器〗:CC4511,CC14522,CD4060

(完整版)数字电路课程设计--数字时钟

《数字时钟》技术报告 概要 数字钟是一个将“ 时”,“分”,“秒”显示于人的视觉器官的计时装置。它的计时周期为24 小时,显示满刻度为23 时59 分59 秒。一个基本的数字钟电路主要由秒信号发生器、“时、分、秒、”计数器、译码器及显示器组成。由于采用纯数字硬件设计制作,与传统的机械表相比,它具有走时准,显示直观,无机械传动装置等特点。 本设计中的数字时钟采用数字电路实现对“时” 、“分”、“秒” 的显示和调整。通过采用各种集成数字芯片搭建电路来实现相应的功能。具体用到了555 震荡器,74LS90 及与非,异或等门集成芯片等。该电路具有计时和校时的功能。 在对整个模块进行分析和画出总体电路图后,对各模块进行仿真并记录仿真所观察到的结果。 实验证明该设计电路基本上能够符合设计要求! 一、系统结构。 (1)功能。此数字钟能显示“时、分、秒”的功能,它的计时周期是24 小时,最大能显示23 时59 分59 秒,并能对时间进行调整和校对,相对于机械式的手表其更为准确。 2)系统框图

系统方框图 1 (3)系统组成。 1.秒发生器:由555 芯片和RC 组成的多谐振荡器,其555 上3 的输出频率由接入的电阻与电容决定。 2.校时模块:由74LS03 中的4 个与非门和相应的开关和电阻构成。 3.计数器:由74LS90 中的与非门、JK 触发器、或门构成相应芯片串接得到二十四、六十进制的计数器,再由74LS90 与74LS08 相连接而得到秒、分、时的进分别进位。 4.译码器:选用BCD 锁存译码器4511,接受74LS90 来的信号,转换为7 段的二进制数。

5.显示模块:由7 段数码管来起到显示作用,通过接受CD4511 的信号。本次选用的是共阴型的CD4511 。 二、各部分电路原理。 1.秒发生器:555 电路内部(图2-1)由运放和RS 触发器共同组成,其工作原理由8处接VCC ,C1 处当 Uco=2/3Vcc>u11 时运放输出为1,同理C2 也一样。最终如图3 接口就输出矩形波,而形成的秒脉冲。 图 2-2 555 功能表 2.校时模块:校时模块主要由74LS03中的4个与非门构成(图2-3),由其功能图看得出只要有一个输入端由H 到L 或者从L 到H 都会使输出端发生高低变化。因此通过开关的拨动产生高低信号从而对时、分处的计数器起到调数作用。

数电课程设计报告《八路数字抢答器》

数字电路课程设计 数字抢答器 设计报告 姓名: 学号: 专业:电子信息工程系 指导教师: 2012年04 月18 日

八路数字抢答器 一、设计目的及任务 1.设计的目的 通过八路数字抢答器的设计实验,要求大家回顾所学数字电子技术的基础理论和基础实验,掌握组合电路、时序电路、编程器件和任意集成电路的综合使用及设计方法,熟悉掌握优先编码器、触发器、计数器、单脉冲触发器、555电路、译码/驱动电路的应用方法,熟悉掌握时序电路的设计方法。达到数字实验课程大纲所要求掌握的基本内容。 2.设计的任务及要求 (1)基本功能 ①设计一个智力竞赛抢答器,可同时供8名选手或8个代表队参赛,他们的选号分别是0、1、2、3、4、5、6、7,各用一个抢答按钮,按钮的编号对应分别是S0、S1、S2、S3、S4、S5、S6、S7。 ②给节目主持人设置一个控制开关,用来控制系统的清零(编号显示数码管灭灯)和抢答器的开始。 ③抢答器具有数据锁存和显示的功能。抢答开始后,若有选手按动抢答按钮,编号立即锁存,并在LED数码管上显示出选手的编号。此外,要封锁输入电路,禁止其他选手抢答。优先抢答选手的编号一直保持主持人将系统清零为止。(2)扩展功能 ①抢答器具有定时抢答的功能,且一次抢答的时间可以由主持人设定(如30秒)。当节目支持人启动“开始”键后,要求定时器立即减计时,并用显示器显示。 ②参加选手在设定的时间内抢答,抢答有效,定时器停止工作,显示器上显示选手的编号和抢答时刻的时间,并保持到主持人将系统清零为止。 ③如果定时抢答的时间已到,却没有选手抢答时,本次抢答无效,系统短暂报警,并封锁输入电路,禁止选手超时后抢答,时间显示器上显示00。 二、电路设计总方案及原理框图 1. 设计思路 (1)本题的根本任务是准确判断出第一抢答者的信号并将其锁存。实现这一功能可选择使用触发器或锁存器等。在得到第一信号之后应立即将电路的输入封锁,即使其他组的抢答信号无效。同时还必须注意,第一抢答信号应该在主持人发出抢答命令之后才有效。

数字时钟设计实验报告

电子课程设计题目:数字时钟

数字时钟设计实验报告 设计要求: 设计一个24小时制的数字时钟。 要求:计时、显示精度到秒;有校时功能。采用中小规模集成电路设计。 发挥:增加闹钟功能。 设计方案: 由秒时钟信号发生器、计时电路和校时电路构成电路。 秒时钟信号发生器可由振荡器和分频器构成。 计时电路中采用两个60进制计数器分别完成秒计时和分计时;24进制计数器完成时计时;采用译码器将计数器的输出译码后送七段数码管显示。 校时电路采用开关控制时、分、秒计数器的时钟信号为校时脉冲以完成校时。 电路框图: 图一 数字时钟电路框图 电路原理图: (一)秒脉冲信号发生器 秒脉冲信号发生器是数字电子钟的核心部分,它的精度和稳定度决定了数字钟的质量。由振荡器与分频器组合产生秒脉冲信号。 振荡器: 通常用555定时器与RC 构成的多谐振荡器,经过调整输出1000Hz 脉冲。 分频器: 分频器功能主要有两个,一是产生标准秒脉冲信号,一是提供功能 扩展电路所需要的信号,选用三片74LS290进行级联,因为每片为1/10分频器,三片级联好获得1Hz 标准秒脉冲。其电路图如下: 译码器 译码器 译码器 时计数器 (24进制) 分计数器 (60进制) 秒计数器 (60进制) 校 时 电 路 秒信号发生器

图二秒脉冲信号发生器 (二)秒、分、时计时器电路设计 秒、分计数器为60进制计数器,小时计数器为24进制计数器。 60进制——秒计数器 秒的个位部分为逢十进一,十位部分为逢六进一,从而共同完成60进制计数器。当计数到59时清零并重新开始计数。秒的个位部分的设计:利用十进制计数器CD40110设计10进制计数器显示秒的个位。个位计数器由0增加到9时产生进位,连在十位部计数器脉冲输入端CP,从而实现10进制计数和进位功能。利用74LS161和74LS11设计6进制计数器显示秒的十位,当十位计数器由0增加到5时利用74LS11与门产生一个高电平接到个位、十位的CD40110的清零端,同时产生一个脉冲给分的个位。其电路图如下: 图三60进制--秒计数电路 60进制——分计数电路 分的个位部分为逢十进一,十位部分为逢六进一,从而共同完成60进制计数器。当计数到59时清零并重新开始计数。秒的个位部分的设计:来自秒计数电路的进位脉冲使分的个位加1,利用十进制计数器CD40110设计10进制计数器显示秒的个位。个位计数器由0增加到9时产生进位,连在十位部计数器脉冲输入端CP,从而实现10进制计数和进位

数字电路课程设计--数字抢答器

智力竞赛抢答器 一、本次课程设计目的 1.结合所学的数字电路的理论知识来完成数字电路课程设计。 2.在数字电路的课程设计中,熟悉数字电路的逻辑设计过程以及集成电路的使用。 3.学会利用一些没学过的来设计电路。 4。学会用软件方法仿真电路。 二、本次课程设计安排 1、时间安排 略。 2、地点安排 S2403实验室。 智力竞赛抢答器 1 设计目的 (1)熟悉集成电路的引脚安排及使用方法。 (2)掌握各芯片的逻辑功能及使用方法。 (3)了解面包板结构及其接线方法。 (4)了解数字抢答器的组成及工作原理。 (5)熟悉数字抢答器的设计与制作。 (6)学会用软件方法仿真电路。 2 设计思路 (1)设计抢答器电路。 (2)设计可预置时间的定时电路。 (3)设计报警电路。(选做) (4)设计时序控制电路。(选做) 3 设计过程 3.1方案论证

图 1 数字抢答器框图 数字抢答器总体方框图如图1所示。, 其工作原理为:接通电源后,主持人将开关拨到“清除”状态,抢答器处于禁止状态,编号显示器灭灯,定时器显示设定时间;主持人将开关置于“开始”状态,宣布“开始”抢答器工作。定时器倒计时,扬声器给出声响提示。选手在定时时间内抢答时,抢答器完成:优先判断、编号锁存、编号显示、扬声器提示。当一轮抢答之后,定时器停止、禁止二次抢答、定时器显示剩余时间。如果再次抢答必须由主持人再次操作“清除”和“开始”状态开关。 3.2电路设计 抢答器电路如图2所示。 图2 数字抢答器电路 该电路完成两个功能:一是分辨出选手按键的先后,并锁存优先抢答者的编号,同时译码显示电路显示编号;二是禁止其他选手按键操作无效。

数电实验——多功能数字钟

大连理工大学本科实验报告题目:多功能数字钟 课程名称:《数字电路课程设计》 学院(系):电子信息与电气工程学部 专业:自动化 班级:电自0801 学生姓名: 学号:200881142 完成日期:2011年7月20日 成绩: 2011 年7 月20 日

题目:多功能数字钟 1 设计要求 (1)一个具有“时”,“分”,“秒”的十进制数字显示(小时从00~23) 计时器。 (2)具有手动校时,校分的功能。 (3)定时与闹钟功能,能在设定的时间发出闹铃声 (4)能整点报时。要求从59分54秒起报时,每隔2秒发出低音,,连续 3次,在整点要求是高音。 2 设计分析及系统方案设计 系统总体结果 系统设计要求说明: (1)该秒表用模24、模60计数器实现24小时计时 (2)在调节闹钟时不影响数字钟的正常走表; (3)在调节闹钟时要通过数码管显示出; 3系统以及模块硬件电路设计 根据上述给出的系统总体结构框图,给出系统硬件电路设计,并作必要的说明和理论计算。由于“数字电路课程设计”课程采用实验箱完成,没有学时涉及有关FPGA芯片的使用,因此有关FPGA芯片的部分可以用“FPGA最小系统”

模块框代替。其余接口部分(按键,LED以及数码管,各种接口等需要设计电路以及参数)。 下载时选择的开发系统模式以及管脚定义 4 系统的VHDL设计 系统的各个部分如何用VHDL设计出来的应该有说明,包括论述以及真值表或者状态图等。 要求:系统(或顶层文件)结构描述,各个模块(或子程序)的功能描述;1)用原理图实现的,需包含以下内容: (1)系统原理图

(2)主要模块或符号说明; 主要模块:模60计数器,模24计数器, 2)用VHDL语言实现的 (1) 秒计数器(模60计数器) library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity m_601 is port(clk: in std_logic; clk_1: out std_logic; --clk_1表进位 qh,ql:out std_logic_vector(3 downto 0) –qh,ql表示十位与个位); end; architecture a of m_601 is signal qqh,qql: std_logic_vector(3 downto 0); signal tmp: std_logic; begin process(clk) begin if clk'event and clk='1' then if qql=9 then qql<="0000"; if qqh=5 then

数字定时器设计

设计任务 设计一个数字定时器 设计要求 1,定时1-99分钟,开机上电并且默认10分钟。 2,显示时间自选,不一定使用数码管。 3,定时时间可调,调试方式为两种,一是步进,二是直接输入。 4,有负载,220V/A,要求开机上电的时候,负载关闭。 5,有相关的提示信息,一旦时间设定之后,会给出时间设定的提示信息。 6,定时时间到,关闭电晕啊,切断负载电源,关闭电源。 7,系统工作电源V in=+12V 设计基本理论 本设计将采用89C51单片机,89C51单片机是一种带4K字节闪烁可编程可擦除只读存储器(FPEROM—Falsh Programmable and Erasable Read Only Memory)的低电压,高性能CMOS8位微处理器,俗称单片机。单片机自带5个中断,两个16位定时器32个I/O口,可擦除只读存储器可以反复擦除多次,功能相当强大。该器件采用ATMEL高密度非易失存储器制造技术制造,与工业标准的MCS-51指令集和输出管脚相兼容。由于将多功能8位CPU和闪烁存储器组合在单个芯片中,ATMEL的89C51是一种高效微控制器。89C单片机为很多嵌入式控制系统提供了一种灵活性高且价廉的方案。 主体模块设计 数字定时器系统的基本组成如下图所示

数字定时系统电路的原理框图根据设计任务与要求,可初步将系统分为五大功能模块:主电路、按键电路、显示电路、继电器电路。进一步细说,主电路选用AT89C51作为中央处理器,系统采用12MHZ的晶振;按键控制电路由两个个按键(启动键(start)、时间设定键(set))组成,采用三个独立开关,按键按下产生一个脉冲信号;显示电路由二位8段共阴极数码管和一个9位100欧姆上拉排阻组成,位选,个位和十位由P0输出,段选由P1输出;继电器电路由一个继电器和一个用电器(灯泡)组成,通过电路与P3.0相连。当P3.0输出高电平时,继电器不吸合,灯亮。 各部件具体设计 1单片机最小系统的设计模块 硬件连接说明:本系统以AT89C51单片机为核心。单片机采用内部振荡的方式。通过200欧姆电阻与一个6位8段LED数码显示管相连。从P0口输出LED数码管的字形码,从P2口输出LED数码管的位选码,高电平有效。4个功能按键和P1口相连,中间通过10K的电阻与+5V电源相连,按键另一端接地,P1口低电平时表示按键被按下。报警电路则与P3.0口相连,当P3.0口输出高电平时,蜂鸣器响。 2晶振电路设计 由于单片机内部振荡方式电路简单,时钟信号比较稳定,是独立的单片机应用系统的首选,故本设计采用内部振荡方式,采用12MHZ的晶振。数字定时系统电路的晶振电路图如下图所示。

数电课程设计八路抢答器

《数字电子技术》课程设计 题目八路抢答器 专业班级11级通信工程三班 院(系)信息工程学院 完成时间2013 年11月28日

目录 1课程设计的目的 (1) 2 课程设计的任务与要求 (1) 3 设计方案与论证 (1) 3.1方案选择与论证 (1) 3.2抢答器的原理方框图 (2) 4 设计原理与功能说明 (3) 4.1 元器件选用原理 (3) 4.2总体电路原理 (6) 5 单元电路设计 (9) 5.1NE555接成音多谐振荡器构成的报警电路 (9) 5.2复位键与抢答键的工作原理 (9) 6 仿真与电路的连接 (9) 6.1 电路的仿真 (9) 6.2电路的连接 (10) 7 实训报告 (10)

参考文献 (12) 附件一:元器件清单 (13) 附件二:实物图 (14)

1 课程设计的目的 通过这次课程设计,主要了解简单数字电路抢答器的基本工作原理,学会设计与分析优先编码电路、数码显示电路、报警电路,在巩固数电知识的基础上,提高自身逻辑思维能力,拓展实际操作能力,同时学会利用团队力量解决某些技术难关。从而正确设计出各个单元电路,并简单掌握电路仿真技术。 2 课程设计的任务与要求 设计一个八路抢答器,以CD4511集成芯片为核心原件来实现功能要求,在抢答过程中,可以更好的精确选手抢答的先后。此抢答器主要运用于竞赛活动中。 此抢答器可以容纳八个选手,每个选手都有一个抢答按钮。在主持人按下复位键宣布抢答开始的时候,选手就开始进行抢答,在指定时间内选手进行抢答,数码显示屏上会显示最先抢答选手的编号。如果主持人没有按下开始键而选手就抢答视为犯规,数码显示屏显示犯规者的编号,扬声器持续发生。主持人可按复位键,新一轮抢答开始。[1] 3 设计方案与论证 3.1 方案选择与论证 八路抢答器的关键部分是数字优先编码电路、锁存/译码/驱动电路,由数码显示电路和报警电路组控制信号的产生。下面列出两种方案:方案一: 该方案采用了74LS148来实现抢答器的选号,采用了74LS279芯片实现对号码的锁存,采用了74LS192实现十进制的减法计数,555芯片产生秒脉冲信号来共同实现倒计时,采用了74LS121单稳态芯片来实现报警信号的输

数电课程设计电子秒表

电子电路课程设计题目名称:电子秒表课程设计 姓名: 学号: 专业班级:

一.设计任务及功能简介 (1)显示分辨率为1s/100,外接系统时钟频率为100KHz。 (2)计时最长时间为1h,六位显示器,显示时间最长为59m59.99s。 系统设置启/停键和复位键。复位键用来消零,做好计时准备、启/停键是控制秒表起停的功能键 二.设计框原理 三.各单元电路的设计及使用说明

1.启动和停止单元电路 由一个开关控制CP脉冲,从而控制秒表的启动与停止 2.秒脉冲电路 LM555CN定时器构成的多谐振荡器,是一种性能较好的时钟源。该脉冲电路产生100KHz的脉冲(MULTISIM仿真太慢,调快了频率) 3. 100进制计数器

由两片74ls160级联组成,采用了串行的方式,低位片的进位端RCO接低位片的CLK端。 4. 60进制计数器 60进制计数器采用串行整体置数的方式级联,将电路的59状态译码产生的低电平信号接到两片计数器的同步置数端,当下一个脉冲到来的时候同时把0000置入两片74ls160计数器中,从而得到六十进制计数器。 5.计数与译码显示

十进制加法计数器74LS160构成电子秒表的计数单6.电子秒表总设计图 四.主要芯片介绍 1.74LS00

其真值表及管脚图为: 2.74LS160 74LS160 十进制同步计数器(异步清零)其真值表如下( H:高电平,L:低电平,↑:上升沿,X:任意,D0 ~D3 :A~D 稳态输入电平) 五.总电路的仿真 Inputs 输入 Ouput 输出 A B Y L L H L H H H L H H H L 输入 输出 Cr LD S1 S2 CP A B C D QA QB QC QD L X X X X X X X X L L L L H L X X ↑ D0 D1 D2 D3 D0 D1 D2 D3 H H H H ↑ X X X X 计数 H H L X X X X X X 保持 H H X L X X X X X 保持

相关文档
相关文档 最新文档