文档库 最新最全的文档下载
当前位置:文档库 › 具有大小月份自动调节功能的数字日历 数字电路 课程设计

具有大小月份自动调节功能的数字日历 数字电路 课程设计

具有大小月份自动调节功能的数字日历  数字电路 课程设计
具有大小月份自动调节功能的数字日历  数字电路 课程设计

课程设计任务书

课程名称:数字电子技术

题目:具有大小月份自动调节功能的数字日历

专业班级:班

学生姓名:学号:

指导老师:

审批:

任务书下达日期2011年6月24日星期一

设计完成日期2011年7月8日星期五

设计内容与设计要求

一.设计内容:

自选元器件设计制作一个具有大小月份自动调节功能的数字日历,具体设计要求如下:

1、用五个数码管分别显示月、日、星期。

2、月、日的计数显示均从1开始,并实现大小月份自动调节功能,即日期的计数实现大月31天,小月30天,二月28天。

3、对星期的计数显示从1到6再到日(日用8代替)。

3、用EWB进行仿真。

4、在实验室组装实际电路并调试通过。

5、写出设计报告。

二.设计提示:

1.控制月、日、星期计数器的置数端使其每次从1开始计数。

2.从日计数器的输出,译出31、30、28这三个信号,再根据月计数器的输出的月份,选择其中一路信号去控制日计数器的置数端,以此实现大小月份的自动调节功能。

3.星期计数器通过对7448的灯测试端LT的控制实现将7变8(表示星期日)的显示。

三.设计要求:

1.设计思路清晰,给出整体设计框图;

2.设计各单元电路,给出具体设计思路、电路工作原理,元器件清单;

3.完成总电路设计,设计图纸完备;

4.安装调试电路;

5.写出设计报告;

主要设计条件

1.提供直流稳压电源、信号源、示波器等仪器;

2.提供各类TTL集成电路芯片、电阻、电容及插接件等

元器件。

3.提供电子综合实验装置。

说明书格式

1、课程设计封面;

2、课程设计任务书;

3、说明书目录;

4、设计总体思路,基本原理和框图;

5、单元电路设计(各单元电路图);

6、总电路设计(总电路图);

7、安装、调试步骤;

8、故障分析与电路改进;

9、总结与设计调试体会;

10、附录(元器件清单);

11、参考文献;

12、课程设计成绩评分表。

进度安排

第一周:

星期一上午安排任务。

星期一~星期二:查资料、设计

星期三~星期四:

单元电路设计和仿真,电路修改〈四楼现代设计室〉

星期五上午:领取元器件和设备〈四楼电子综合实验室〉第二周:

第一周星期五下午~第二周星期四:

安装调试电路;〈四楼电子综合实验室〉

星期四~星期五:检查硬件电路、写总结报告,答辩。

参考文献

《电子技术与EDA技术课程设计》郭照南主编

《电子技术课程设计指导》彭介华主编

《电子线路设计、实验、测试》谢自美主编

目录

第1章设计总体思路 (1)

1.1设计要求及设计思路 (1)

1.2基本原理 (1)

1.2.1计数显示电路 (1)

1.2.2控制调节电路 (1)

1.3总体设计简图及电路总图 (2)

第2章单元电路设计 (3)

2.1大小月显示电路的设计 (3)

2.212进制月电路 (7)

2.37进制星期电路 (8)

2.4大小月逻辑运算电路 (10)

2.5总电路图 (11)

第3章安装、调试步骤与故障诊断 (12)

第4章故障分析与电路改进 (13)

第5章总结与体会 (14)

附录元件清单 (15)

参考文献 (16)

第1章设计总体思路

1.1设计要求及设计思路

该课题要求设计制作一个具有大小月份自动调节功能的数字日历。由于每一月的天数不尽相同,日计数器要实现当前月对应的天数进制。例如,2月时日期满28天就应向月计数器进位,此时日计数器应为28进制。所以可以用两片74LS160分别做日计数器的高低为,用一片74LS161实现12进制的月计数器。用数据选择器74LS153选择相对于的日进制,实现大小月功能。另外用一片74LS160实现7进制的星期计数。

1.2基本原理

1.2.1计数显示电路

“日期计数器”采用两片十进制计数器74LS160同步预置数(高位片置入0000,低位片置入0001)构成,控制置数端使其每次从1开始计数(对应每月第一天是1号),计数脉冲由实验仪上标准方波信号源提供,并通过试验仪上的译码显示器显示出来;“月计数器”采用一片74LS161计数器通过预置数做成十二进制计数器,从而进行1--12月份的累计计数,并通过试验仪上的译码显示器显示出来。

1.2.2控制调节电路

当逻辑电路从日计数器的输出端译出28、30、31三个信号送入数据选择器数据输入端,控制逻辑电路从月份计数器输出端用74ls138译码分出1-12月送入数据选择器地址输入端,数据选择器153根据月计数器输出的月份选择译码电路译出的相应一路信号去控制日期计数器的置数端及月份计数器的时钟,从而实现大小月份自动调节功能,

即日期的计数实现大月31天,小月30天,二月28天。控制电路从月计数器的输出中用74LS154译出二月、小月和大月三组信号,通过组合逻辑电路将要显示的月份所对应的数据送入74ls153数据选择器的数据端。令数据选择器的地址端输入为1X0=28,1X1=30,1X2=31,A=当B和A为00时选通28天,当BA为01时选通30天,当BA为10时选通31天,如此可自动调节天数。

1.3总体设计简图及电路总图

图1.3设计简图

第2章单元电路设计

2.1大小月显示电路的设计

每年有12个月份,每个月的天数有大小月之分。分别是:1月31天﹑2月28天﹑3月31天﹑4月30天﹑5月31天﹑6月30天﹑7月31天﹑8月31天﹑9月30天﹑10月31天﹑11月30天﹑12月31天。将12个月份所对应的天数信息编译成相对应的选择信号送入74LS153用‘00’选中28进制日、用‘01’选中30进制日﹑用‘10’选中31进制日。电路图如图2.1.1所示:

图2.1.1大小月显示电路

2.1.128进制日电路

“28进制计数器”采用两片十进制计数器160同步预置数构成,控制置数端使其每次从1开始计数(对应每月第1天)。图中LOAD′为同步置数控制端,MR′为异步置0控制端,ENT和ENP为计数控制端;D0-D3为并行数据输入端,Q0—Q3为输出端,RCO为进位输出端。当计入28个脉冲且数据选择器74LS153的BA=00时,经担任译码器的74LS00译码产生低电平信号立刻被74ls153选正输出低电平将两片74ls160置成0000,0001,于是便得到了二十八进制。

由于74ls160为10进制同步计数器,当计数到(1001)9时,会在RCO端产生一个1的脉冲(RCO平时为0)。作为高位片的EP和ET 输入,当下一个CP信号来时高位片为计数工作状态,计入1,而低位片计成0(0000),它的C端回到低电平。而低位片的EP和ET恒为1,始终处于计数工作状态。

当MR′=1,LOAD′=0时,在时钟脉冲CP上升沿到来时,并行输入的数据D0—D3被置入计数器相应的触发器中,Q0Q1Q2Q3=ABCD。

当LOAD′=CLR′=ENP=ENT=1,CP端输入计数脉冲时,计数按照8421BCD码的规律进行十进制加法计数。当LOAD′=CLR′=1,且ENT 和ENP中有0时,则计数器保持原来的状态不变。在计数器执行保持功能时,如ENP=0、ENT=1时,则RCO=ENTQ3Q0=Q3Q0;如ENT=0、ENP=1时,则RCO=ENT·Q3Q0=0。下图所示为由两片74LS160级联组成的28进制同步加法计数器及其译码显示电路。图中AB实际由译码器及组合逻辑电路将11数据输入。

图2.1.2二十八天电路

2.1.230进制日电路

原理如28进制日计数器,具体线路图如下所示。此时下面74LS160LOAD'接74ls153的输出端,153的输出端经过反相器后再输入74ls161的ENP和ENT端从而实现月的进位,与非门的输入端接Q1Q0输出端接74ls153的1X1端。图中AB实际由译码器及组合逻辑电路将01数据输入。

图2.1.3三十天电路

2.1.331进制日电路

原理如28进制日计数器,具体线路图如下所示。此时下面的74LS160的LOAD'接74LS153的输出端,153的输出端经过反相器后再输入74ls161的ENP和ENT端从而实现月的进位,与非门的输入端接一片的Q1Q0和二片的Q0输出端接74ls153的1X0端。图中AB实际由译码器及组合逻辑电路将10数据输入。

图2.1.4三十一天电路

2.212进制月电路

它是用一块74ls161芯片和一个二输入与非门芯片实现的。通过对74ls161的置数端LOAD'的预置数,此时LOAD'接与二输入非门的输出端,与非门的输入端接Q2和Q3,D0端置1,D1、D2、D3端置0,表示计数器从1开始计数,当第11个输入脉冲信号(11月份)来时经与非门产生低电平信号,待第12个脉冲信号(12月份)来时计数器将置1,表示1月份,周而复始。

此反馈调节将十六进制计数器74161做成十二进制月计数器,原

理图如下所示:

)

图2.2十二月循环电路

在实际电路中,74ls161的ENP和ENT引脚与数据选择器的输出端Y1经反向后与之相连接,即由日计满后进位来控制月的进位。此时由于进位控制的原因,在实际总电路图中应当用一片3输入的与非门来控制,与非门的第三个引脚接31天的输出经反向后的输出端,这样才会避免出现13月。

2.37进制星期电路

本课题要求对星期的计数显示从1到6再到日(日用8代替)。可以用74LS160设计成7进制,将初值设为0001,用0111反馈置数,且应把计数值0001﹑0010﹑0011﹑0100﹑0101﹑0110﹑0111重新编码为相对应的0001﹑0010﹑0011﹑0100﹑0101﹑0110﹑1000。这样就能实现显示器按1,2,3,4,5,6,8,1,2的循环次序来显示。本设计采用

一个4线16线译码器(可用两片74LS138扩展而成)74154进行编码。如图2.3所示:

图2.37进制星期电路

2.4大小月逻辑运算电路

取当前月进行逻辑转化为相对应的大小月状态,即取月计数器的当前计数值Q3Q2Q1Q0进行逻辑运算得到对应的74LS153的数据选择信号BA。BA为选择输入端,1X0—1X3为数据端,1Y为数据输出端,1E′为底电平有效的选通输入端。它的原理是通过给定不同的地址代码(即X1X0的状态),即可从4个输入数据中选出所要的一个,并送至输出端Y。当BA分别为00、01、10时,数据选择器分别选择X0、X1、X2、X3,它们分别代表31、30、29、(日数),并输出对应Y 值,从而对日计数器进行控制调节。组合逻辑即是将1—12月份各月所包含的天数对应至地址输入端A1、A0中。电路中用多个与非门译码,分别译出12个月份,在译码器的输出端将大小月份区分开分别用组合逻辑电路实现00、01、10四个数据的输出。电路如图2.4所示:

图2.4大小月逻辑运算电路

2.5总电路图

图2.5总设计电路

第3章安装、调试步骤与故障诊断

调试前检查导线,选用连接良好的导线用于调试时使用;用芯片检测仪检查芯片引脚有无短路,芯片有无损坏,连接处有无接触不良。然后按照电路图进行连接。电路分四个单元块接,分别一步一步实现。

首先,先连接日计数器部分,并使其与显示器相连。此时已通好电源。在做28、30、31进制时,分别用与非门连到LOAD’端,反馈置数,并观察显示器,当显示器能够显示正确的数字达到相应功能时,再继续下一步,如果不能正确显示,就要检查线路是否连正确,电路的引脚有无错接和漏接,互碰等情况。

其次,连接月计数器,并使其与显示器相连。连好后观察是否能显示数字。如果不能正确显示,就要检查线路是否连正确。紧接着,断开电源,连接数据选择器和逻辑电路图,全部连好后,再接通电源,观察显示器上的数字。

按照这样的步骤,在每接一根线的时候就要思考一下确定无误后才接下一根线,每接完一个模块就检查一下,就这样我在接线的过程中一点点把故障原因找出来,最后我只用了一次就把电路图接成功。

第4章故障分析与电路改进

故障产生的原因很多,情况也很复杂,本实验产生故障的原因主要有以下几种:(1)定型芯片使用一段时间后出现故障,元器件损坏,连接线路是发生短路或断路(接插件接触不良);(2)新设计安装的电路来说,故障原因可能是,实际电路、与设计的原理图不符;元器件使用不当或损坏;连线发生短路或断路现象等;(3)仪器使用不正确引起的故障;(4)芯片布局不合理,引脚走线不合理。

常用的故障排除方法:(1)直接观察法:直接观察法包括不通电检查和通电检查。检查仪器的选用和使用是否正确;集成电路的引脚有无错接和漏接,互碰等情况;布线是否合理,电阻和电容有无烧焦和炸裂等。仔细观察元,器件有无发烫、冒烟,电子管是否亮。(2)对比法:怀疑某一电路存在问题时,可将此电路与工作状态相同的正常电路的参数进行一一对比,从中找到电路的不正常情况,进而分析故障原因,判断故障点。(3)短路法:用万用表测量拐角对地无电压。(4)断路法:把稳定的电压接入一带故障的电路,使输出电流过大,我们采取依次断开电路的某一支路的办法来检查故障。

(5)分部检测法:电路分四个单元块,分别断开各个单元连接点,输入合适的数据看是否有相应的显示结果。

第5章总结与体会

这次为期两个星期的数字电子技术课程设计终于结束了,在这两个星期我学会了很多东西,以前在课本上学的理论知识比较抽象,通过做这个课程设计,让我对这些知识有了更深的理解.而且通过对这些知识的应用,让我们学会了很多书本上没有的东西。

我们以前也做过这样的训练,对我们的能力有很大的提高,像电工实习。但这次是第一次完全由自己设计电路然后接成实物,遇到的困难可想而知,但最终还是很好的完成了任务,而且使我受益匪浅。我们要做的不仅仅是吸收,了解只是。更重要的是能够熟练的运用学到的知识,做出实实在在的东西运用到日常生活和工作当中去,创造去更多的价值。

此次仿真我们用的是Proteus仿真软件电路图的设计,特别是各模块的设计,要考虑很多东西,书本上理论上的东西与在实际运用中还是有一定的出入的。电路图出来后,我就开始运用Proteus软件连线仿真。把基本芯片合理布局下,认真考虑了合理、美观、高效和易懂性几个方面后,根据实际情况绘制了安装接线图。然后照图连线,连线过程真的能锻炼一个人的耐力,在那针孔大的线孔上连接,只要没注意好就会出现短路或断路。

感谢学校为我们提供了这么好的机会,为我们之后参加相关工作奠定了一个好的基础,拓展了我们的思维开阔了我们的视野。还要感谢郭照南老师的悉心教导,郭老师的严格要求也让我感觉到自己会的东西太少了,而且非常组心。以后要努力学习,严格要求自己。最后再一次感谢赵老师给予的帮助和指导。

附录元件清单

十进制同步计数器74160两片十六进同步制计数器74161一片双四选一数据选择器74153一片四2输入与非门7400一片三3输入正与非门74LS10两片四2输入正与门74LS08两片四2输入正或门74LS32一片译码器74LS138四片六反相器74LS04两片八段共阴显示器四个试验箱一个电路板一块导线若干

数电EDA课程设计电子日历

燕山大学 EDA课程设计报告书 电子日历 姓名:王斌 班级:05级电子信息工程3班 学号:050104020064 日期:2007/11/05——2007/11/14 一、设计题目:电子日历 二、设计要求:

1.能显示年,月,日,星期; 2.例如: 01.11.08. 6,星期日显示8; 3.年月日,星期可调; 4.不考虑闰年 三.设计思路: 为实现本电路得功能,采取模块电路设计方法,本电路系统主要包括以下三三大模块:. 1: 电子日历记数模块 2: 中间控制模块 3: 译码器显示模块 由于不同的月份,决定了不同的天数,因此须设计反馈电路,协调月日的关系,通过不同的月选择相应的天数:比如二月二十八天,十二月三十一天,……..这是利用真值表列出逻辑表达式,从而画出电路图如图1: 仿真图如下: 四、设计过程: 一、电子日历记数模块 1、实现星期计时: 为实现星期计时模块,计到星期日时,显示“8”,采用一般的计数器难以实现,

即可通过四个jk触发器设计而成。其电路图如下: 仿真图如下: 2、实现天数计时: 由于不同的月份,决定了不同的天数,因此须设计三个独立完成计数的计数器电路,如日计数器周期性的(28,30或31)向月计数器进位调月日的关系,即通过三个选择端(c28,c30,c31),同一时刻只能有一个有效,由其中的任一个有效端来控制相应日计数器。其电路原理图

3、实现月份及年份计时: 由用两个74160采用整体同步置数分别构成100进制和12进制计数器,分别完成年,月的计数功能。然后将两者依次异步连接,每隔12个月,月计数器向年计数器进一位,从而实现年月的周期性计数。 月份计数器电路原理图如下: 年份计数器电路图如下:

集成电路课程设计报告

课程设计 班级: 姓名: 学号: 成绩: 电子与信息工程学院 电子科学系

CMOS二输入与非门的设计 一、概要 随着微电子技术的快速发展,人们生活水平不断提高,使得科学技术已融入到社会生活中每一个方面。而对于现代信息产业和信息社会的基础来讲,集成电路是改造和提升传统产业的核心技术。随着全球信息化、网络化和知识经济浪潮的到来,集成电路产业的地位越来越重要,它已成为事关国民经济、国防建设、人民生活和信息安全的基础性、战略性产业。 集成电路有两种。一种是模拟集成电路。另一种是数字集成电路。本论文讲的是数字集成电路版图设计的基本知识。然而在数字集成电路中CMOS与非门的制作是非常重要的。 二、CMOS二输入与非门的设计准备工作 1.CMOS二输入与非门的基本构成电路 使用S-Edit绘制的CMOS与非门电路如图1。 图1 基本的CMOS二输入与非门电路

2.计算相关参数 所谓与非门的等效反相器设计,实际上就是根据晶体管的串并联关系,再根据等效反相器中的相应晶体管的尺寸,直接获得与非门中各晶体管的尺寸的设计方法。具体方法是:将与非门中的VT3和VT4的串联结构等效为反相器中的NMOS 晶体管,将并联的VT 1、VT 2等效PMOS 的宽长比(W/L)n 和(W/L)p 以后,考虑到VT3和VT4是串联结构,为保持下降时间不变,VT 3和VT 4的等线电阻必须减小为一半,即他们的宽长比必须为反相器中的NMOS 的宽长比增加一倍,由此得到(W/L)VT3,VT4=2(W/L)N 。 因为考虑到二输入与非门的输入端IN A 和IN B 只要有一个为低电平,与非门输出就为高电平的实际情况,为保证在这种情况下仍能获得所需的上升时间,要求VT 1和VT 2的宽长比与反相其中的PMOS 相同,即(W/L)VT1,VT2=(W/L)P 。至此,根据得到的等效反向器的晶体管尺寸,就可以直接获得与非门中各晶体管的尺寸。 如下图所示为t PHL 和t PLH ,分别为从高到低和从低到高的传输延时,通过反相器的输入和输出电压波形如图所示。给其一个阶跃输入,并在电压值50%这一点测量传输延迟时间,为了使延迟时间的计算简单,假设反相器可以等效成一个有效的导通电阻R eff ,所驱动的负载电容是C L 。 图2 反相器尺寸确定中的简单时序模型 对于上升和下降的情况,50%的电都发生在: L eff C R 69.0=τ 这两个Reff 的值分别定义成上拉和下拉情况的平均导通电阻。如果测量t PHL 和t PLH ,可以提取相等的导通电阻。 由于不知道确定的t PHL 和t PLH ,所以与非门中的NMOS 宽长比取L-Edit 软件中设计规则文件MOSIS/ORBIT 2.0U SCNA Design Rules 的最小宽长比及最小长度值。 3.分析电路性质 根据数字电路知识可得二输入与非门输出AB F =。使用W-Edit 对电路进行仿真后得到的结果如图4和图5所示。

数字电路课程设计题目选编

数字电路课程设计题目选编 1、基于DC4011水箱水位自动控制器的设计与实现 简介及要求:水箱水位自动控制器,电路采用CD4011 四与非门作为处理芯片。要求能够实现如下功能:水 箱中的水位低于预定的水位时,自动启动水泵抽水; 而当水箱中的水位达到预定的高水位时,使水泵停止 抽水,始终保持水箱中有一定的水,既不会干,也不 会溢,非常的实用而且方便。 2、基于CD4011声控、光控延时开关的设计与实现 简介及要求:要求电路以CD4011作为中心元件,结合外围 电路,实现以下功能:在白天或光线较亮时,节电开关呈关闭 状态,灯不亮;夜间或光线较暗时,节电开关呈预备工作状态, 当有人经过该开关附近时,脚步声、说话声、拍手声等都能开 启节电开关。灯亮后经过40秒左右的延时节电开关自动关闭, 灯灭。 3、基于CD4011红外感应开关的设计与实现 在一些公共场所里,诸如自动干手机、自动取票机等,只要人手在机器前面一晃,机器便被启动,延时一段时间后自动关闭,使用起来非常方便。要求用CD4011设计有此功能的红外线感应开关。 4、基于CD4011红外线对射报警器的设计与实现 设计一款利用红 外线进行布防的防盗 报警系统,利用多谐振 荡器作为红外线发射 器的驱动电路,驱动红 外发射管,向布防区内 发射红外线,接收端利用专用的红外线接收器件对发射的 红外线信号进行接收,经放大电路进行信号放大及整形, 以CD4011作为逻辑处理器,控制报警电路及复位电路,电

路中设有报警信号锁定功能,即使现场的入侵人员走开,报警电路也将一直报警,直到人为解除后方能取消报警。 5、基于CD4069无线音乐门铃的设计与实现 音乐门铃已为人们所熟知,在一些住宅楼中都 装有音乐门铃,当有客人来访时,只要按下门铃按 钮,就会发出“叮咚”的声音或是播放一首乐曲, 然而在一些已装修好的室内,若是装上有线门铃, 由于必须布线,从而破坏装修,让人感到非常麻烦。 采用CD4069设计一款无线音乐门铃,发射按键与接 收机间采用了无线方式传输信息。 6、基于时基电路555“叮咚”门铃的设计与实现 用NE555集成电路设计、制作一个“叮咚”门铃,使该装置能够 发出音色比较动听的“叮咚”声。 7、基于CD4511数显八路抢答器的设计与实现 CD4511是一块含BCD-7段锁存、译码、驱动电路于一体的集成 电路。设计一款基于CD4511八路抢答器,该电路包括抢答,编 码,优先,锁存,数显和复位。 8、基于NE555+CD4017流水彩灯的设计与实现 以NE555和CD4017为核心,设计制作一个流水彩灯,使之通 过调节电位器旋钮,可调整彩灯的流动速度。 9、基于用CD4067、CD4013、 NE555跑马灯的设计与实 现

单片机课程设计 电子日历时钟显示器设计

目录 1.题目设计要求 (1) 2.开发平台简介 (1) 3.系统硬件设计 (2) 3.1设计原理 (2) 3.2器件的功能与作用 (2) 3.2.1 MCS51单片机AT89C51 (2) 3.2.2复位电路 (3) 3.2.3晶振电路 (4) 3.2.4 DS1302时钟模块 (4) 3.2.5 引脚功能及结构 (4) 3.2.6 DS1302的控制字节 (5) 3.2.7 数据输入输出(I/O) (5) 3.2.8 DS1302的寄存器 (6) 3.2.9 液晶显示LCD1602 (6) 3.2.10 串行时钟日历片DS1302 (8) 4.系统软件设计 (10) 4.1程序流程 (10) 4.2程序代码 (10) 5.系统仿真调试 (20) 5.1仿真原理图设计 (20) 5.2仿真运行过程 (21) 5.3仿真运行结果 (21) 6.总结 (21) 7.参考文献 (22)

1.题目设计要求 通过串行日历时钟芯片DS1302生成当前日期和是时间,通过IO口传输到AT89c52芯片中,然后再将AT89c52接收到的数据输出到LCD上。要求LCD上显示的日期和时间与当前系统时间保持一致。 2.开发平台简介 2.1系统仿真平台Proteus Proteus软件是由英国Labcenter Electronics公司开发的EDA工具软件,已有近20年的历史,在全球得到了广泛应用。Proteus软件的功能强大,它集电路设计、制版及仿真等多种功能于一身,不仅能够对电工、电子技术学科涉及的电路进行设计,还能够对微处理器进行设计和仿真,并且功能齐全,界面多彩。和我们手头其他的电路设计仿真软件,他最大的不同即它的功能不是单一的。另外,它独特的单片机仿真功能是任何其他仿真软件都不具备的。 2.2软件开发平台Keil C Keil C51是美国Keil Software公司出品的51系列兼容单片机C语言软件开发系统,与汇编相比,C语言在功能上、结构性、可读性、可维护性上有明显的优势,因而易学易用。Keil提供了包括C编译器、宏汇编、连接器、库管理和一个功能强大的仿真调试器等在内的完整开发方案,通过一个集成开发环境(uVision)将这些部分组合在一起。Keil C51生成的目标代码效率之高,多数语句生成的汇编代码很紧凑,容易理解。在开发大型软件时更能体现高级语言的优势。

《数字电路课程设计》

实验三旋转灯光电路与追逐闪光灯电路 一、实验目的 1.熟悉集成电路CD4029、CD4017、74LS138的逻辑功能。 2.学会用74LS04、CD4029、74LS138组装旋转灯光电路。 3. 学会用CD4069、CD4017组装追逐闪光灯电路。 二、实验电路与原理 1.旋转灯光电路: 图3-1 旋转灯光电路 将16只发光二极管排成一个圆形图案,按照顺序每次点亮一只发光二极管,形成旋转灯光。实现旋转灯光的电路如图3-1所示,图中IC1、R1、C1组成时钟脉冲发生器。IC2为16进制计数器,输出为4位二进制数,在每一个时钟脉冲作用下输出的二进制数加“1”。计数器计满后自动回“0”,重新开始计数,如此不断重复。 输入数据的低三位同时接到两个译码器的数据输入端,但是否能有译码器输出取决于使能端的状态。输入数据的第四位“D”接到IC3的低有效使能端G2和IC4的高有效使能端G1,当4位二进制数的高位D为“0”时,IC4的G1为“0”,IC4的使能端无效,IC4无译码输出,而IC3的G2为“0”,IC3使能端全部有效,低3位的CBA数据由IC3译码,输出D=0时的8个输出,即低8位输出(Y0~Y7)。当D为“1”时IC3的使能端处于无效状态,IC3无译码输出;IC4的使能端有效,低3位CBA数据由IC4译码,输出D=1时的8个输出,即高8位输出(Y8~Y15)。 由于输入二进制数不断加“1”,被点亮的发光二极管也不断地改变位置,形成灯光地“移动”。改变振荡器的振荡频率,就能改变灯光的“移动速度”。

注意:74LS138驱动灌电流的能力为8mA,只能直接驱动工作电流为5mA的超高亮发光二极管。若需驱动其他发光二极管或其他显示器件则需要增加驱动电路。 2. 追逐闪光灯电路 图 3-2 追 逐 闪 光 灯 电 路 ( 1) . CD 401 7 的 管 脚功能 CD4017集成电路是十进制计数/时序译码器,又称十进制计数/脉冲分频器。它是4000系列CMOS数字集成电路中应用最广泛的电路之一,其结构简单,造价低廉,性能稳定可靠,工艺成熟,使用方便。它与时基集成电路555一样,深受广大电子科技工作者和电子爱好者的喜爱。目前世界各大通用数字集成电路厂家都生产40171C,在国外的产品典型型号为CD4017,在我国,早期产品的型号为C217、C187、CC4017等。 (2)CD4017C管脚功能 CMOSCD40171C采用标准的双列直插式16脚塑封,它的引脚排列如图3-3(a)所示。 CC4017是国标型号,它与国外同类产品CD4017在逻辑功能、引出端和电参数等方面完全相同,可以直接互换。本书均以CD40171C为例进行介绍,其引脚功能如下: ①脚(Y5),第5输出端;②脚(Y1),第1输出端,⑧脚(Yo),第0输出端,电路清零 时,该端为高电平,④脚(Y2),第2输出端;⑤脚(Y6),第6输出端;⑥脚(Y7),第7输出端;⑦脚(Y3),第3输出端;⑧脚(Vss),电源负端;⑨脚(Y8),第8输出端,⑩脚(Y4),第4输出端;11脚(Y9),第9输出端,12脚(Qco),级联进位输出端,每输入10个时钟脉冲,就可得一个进位输出脉冲,因此进位输出信号可作为下一级计数器的时钟信号。13脚(EN),时钟输入端,脉冲下降沿有效;14脚(CP),时钟输入

Java日历记事本课程设计报告

Java日历记事本课程设计报告 在设计日历记事本时,需要编写6个JAVA源文件:、、、、和 效果图如下 . CalendarWindow类 import .*; import .*; import .*; import .*; public class CalendarWindow extends JFrame implements ActionListener,MouseListener,FocusListener{ int year,month,day; CalendarMessage calendarMessage; CalendarPad calendarPad; NotePad notePad;

JTextField showYear,showMonth; JTextField[] showDay; CalendarImage calendarImage; String picturename; Clock clock; JButton nextYear,previousYear,nextMonth,previousMonth; JButton saveDailyRecord,deleteDailyRecord,readDailyRecord; JButton getPicture; File dir; Color backColor= ; public CalendarWindow(){ dir=new File("./dailyRecord"); (); showDay=new JTextField[42]; for(int i=0;i<;i++){ showDay[i]=new JTextField(); showDay[i].setBackground(backColor); showDay[i].setLayout(new GridLayout(3,3)); showDay[i].addMouseListener(this); showDay[i].addFocusListener(this); } calendarMessage=new CalendarMessage(); calendarPad=new CalendarPad(); notePad=new NotePad(); Calendar calendar=(); (new Date()); year=; month=+1; day=; (year); (month); (day); (calendarMessage); (showDay); (year,month,day); (); doMark(); calendarImage=new CalendarImage(); (new File("")); clock=new Clock(); JSplitPane splitV1=new JSplitPane,calendarPad,calendarImage); JSplitPane splitV2=new JSplitPane,notePad,clock); JSplitPane splitH=new JSplitPane,splitV1,splitV2);

数电课程设计题目汇总..

数电课程设计题目选 一、设计并制作一数字式温度计 〖基本要求〗采用电桥法,利用PT~100热电阻对0~200℃测温范围进行测量并送LED 数码管显示,要求测量分辨率为0.1℃,数据测量间隔时间为5秒。 〖提高要求〗1)针对不同的铂热电阻讨论不同的温度信号测量办法 2)利用电路对测温电路进行非线性校正,提高测温精度(电路非线性校正和EPROM 查表法非线性校正两种方法) 3)讨论误差的形成因素和减少误差的措施 4)进行简单的温度开关控制 〖参考原理框图〗系统参考原理框图如下: 〖主要参考元器件〗 MCl4433(1),LM324(1),七段数码管(4),CD4511(1),MC1413(1),铂热电阻使用普通 精密电位器代替。 二、十二小时电子钟 〖基本要求〗利用基本数字电路制作小时电子钟,要求显示时分秒;并能实现校时和校分的功能。 〖提高要求〗1)针对影响电子钟走时精度的因素提出改进方案 2)增加日期显示 3)实现倒计时功能 4)整点报时(非语音报时) 5)定时功能 〖参考原理框图〗: 〖主要参考元器件〗:CD4060,74LS74,74LS161,74LS248 电桥电路 供电电路 时钟电路 放大电路 A/D 转换 显示电路 时校 分校 秒校 24进制时计数器 单次或连续的脉冲 60进制分计数器 分频器 60进制秒计数器 译码电路 晶体振荡器 显示电路 译码电路 显示电路 显示电路 译码电路

三、电平感觉检测仪 〖基本要求〗:采用光电式摇晃传感器,其检测范围为±90℃,每摇晃一度传感器就输出一个脉冲信号给计数单元,在给定时间内测量到的脉冲数目就能表明该人的电平感觉,测试时采用头戴式传感器、闭上双目,单脚立地:保持静止,开始测试。定时时间为1分钟 〖提高要求〗 〖参考原理、框图〗: 〖主要参考元器件〗CD4060,555,74LS74 四、便携式快速心律计 基本要求〗利用数字电路制作一便携式快速心律计,用于在较短时间内测量脉搏跳动速率:并使用LED 显示。 〖提高要求〗1)提高测量精度的方法 2)设计能比较准确测量1S 内心跳的电路 〖参考原理框图〗 〖主要参考元器件〗CD4060,4528,4518;4511,14526 五、数字式定时开关 〖基本要求〗设计并制作一数字式定时开关,此开关采用BCD 拨盘预置开关时间,其最大定时时间为9秒,计数时采用倒计时的方式并通过一位LED 数码管显示。此开关预置时间以后通过另一按钮控 制并进行倒计时,当时间显示为0时,开关发出开关信号,输出端呈现高电平,开关处于开态,再按按钮时,倒计时又开始。计时时间到驱动扬声器报警。 〖提高要求〗 l)输出部分加远距离(100m)继电器进行控制 2)延长定时时间 3)探讨提高定时精度的方法 〖参考原理框图〗 外部操作开关 〖主要参考元器〗:CC4511,CC14522,CD4060 传感器 基准时间产生电路 倍频器 放大与整形 控制电路 计数译码 显 示电 路 秒脉冲发生器 计时器 译码显示 控制电路 报警电路

数字电路课程设计报告

课程设计任务书 学生姓名:吴培力专业班级:信息SY1201 指导教师:刘可文工作单位:信息工程学院 题目: 数字式电子锁的设计与实现 初始条件: 本设计既可以使用集成电路和必要的元器件等,也可以使用单 片机系统构建数字密码电子锁。自行设计所需工作电源。电路组成 原理框图如图1,数字密码锁的实际锁体一般由电磁线圈、锁栓、 弹簧和锁柜构成。当线圈有电流时,产生磁力,吸动锁栓,即可开 锁。反之则不开锁。 图1 数字式电子锁原理框图要求完成的主要任务:(包括课程设计工作量及技术要求,以及说明书撰写等具体要求) 1、课程设计工作量:1周。 2、技术要求: 1)课程设计中,锁体用LED代替(如“绿灯亮”表示开锁,“红灯亮”表示闭锁)。 2)其密码为4位二进制代码,密码可以通过密码设定电路自行设定。 3)开锁指令为串行输入码,当开锁密码与存储密码一致时,锁被打开。当开锁密码与存储密码不一致时,可重复进行,若连续三次未将锁打开,电路则报警并实现自锁。(报警动作为响1分钟,停10秒) 4)选择电路方案,完成对确定方案电路的设计。计算电路元件参数与元件选择、并画出总体电路原理图,阐述基本原理。安装调试设计电路。 3、查阅至少5篇参考文献。按《武汉理工大学课程设计工作规范》要求撰写设计报告书。全文用A4纸打印,图纸应符合绘图规范。 时间安排: 1、年月日,布置作课设具体实施计划与课程设计报告格式的要求说明。 2、年月日至年月日,方案选择和电路设计。 3、年月日至年月日,电路调试和设计说明书撰写。 4、年月日,上交课程设计成果及报告,同时进行答辩。 指导教师签名:年月日 系主任(或责任教师)签名:年月日

数字日历电路设计数字电子技术课程设计报告

数字电子技术课程设计报告设计题目:数字日历

班级:计算机1202 数字电子技术课程设计报告 课程设计任务书

I 数字电子技术课程设计报告 要摘 每页显示一日信用于记载日期等相关信息。日历是一种日常使用的出版物,有多每页显示全年信息的叫年历。息的叫日历,每页显示一个月信息的叫月历,种形式,如挂历、座台历、年历卡等,如今又有电子日历。逢年过节,往往会送亲友日历已显亲情友情可日历在现代社会中是很重要在设计日历倒计而纸制日历对森林保护不利,因此设计电子日历意义重大。的。时器时,采用了模块化的思想,使得设计简单、易懂。本设计能进行月、日、星期的的计数,在社会生活中具有实际的应用价值。 关键字:日历

II 数字电子技术课程设计报告 目录 课程设计任务书............................................................................................................. I 摘要........................................................................................................................... II 1.概述 (1) 2.课程设计任务及要求 (1) 2.1 设计任务 (1) 2.2 设计要求 (1) 3.理论设计 (1) 3.1方案论证 (2) 3.2 系统设计 (2) 3.2.1结构框图及说明 (2) 3.2.2系统原理图及工作原理 (3) 3.3 单元电路设计 (5) 3.3.1单元电路工作原理 (5) 3.3.2元件参数选择 (10) 4. 软件仿真................................................................................................................. 11 4.1 仿真电路图 (11) 4.2 仿真过程 (12) 4.3 仿真结果 (12) 5.安装调试................................................................................................................... 13 5.1安装调试过程 (13)

数字电路课程设计

数字电路课程设计 一、概述 任务:通过解决一两个实际问题,巩固和加深在课程教学中所学到的知识和实验技能,基本掌握常用电子电路的一般设计方法,提高电子电路的设计和实验能力,为今后从事生产和科研工作打下一定的基础。为毕业设计和今后从事电子技术方面的工作打下基础。 设计环节:根据题目拟定性能指标,电路的预设计,实验,修改设计。 衡量设计的标准:工作稳定可靠,能达到所要求的性能指标,并留有适当的裕量;电路简单、成本低;功耗低;所采用的元器件的品种少、体积小并且货源充足;便于生产、测试和维修。 二、常用的电子电路的一般设计方法 常用的电子电路的一般设计方法是:选择总体方案,设计单元电路,选择元器件,计算参数,审图,实验(包括修改测试性能),画出总体电路图。 1.总体方案的选择 设计电路的第一步就是选择总体方案。所谓总体方案是根据所提出的任务、要求和性能指标,用具有一定功能的若干单元电路组成一个整体,来实现各项功能,满足设计题目提出的要求和技术指标。 由于符合要求的总体方案往往不止一个,应当针对任务、要求和条件,查阅有关资料,以广开思路,提出若干不同的方案,然后仔细分析每个方案的可行性和优缺点,加以比较,从中取优。在选择过程中,常用框图表示各种方案的基本原理。框图一般不必画得太详细,只要说明基本原理就可以了,但有些关键部分一定要画清楚,必要时尚需画出具体电路来加以分析。 2.单元电路的设计 在确定了总体方案、画出详细框图之后,便可进行单元电路设计。 (1)根据设计要求和已选定的总体方案的原理框图,确定对各单元电路的设计要求,必要时应详细拟定主要单元电路的性能指标,应注意各单元电路的相互配合,要尽量少用或不用电平转换之类的接口电路,以简化电路结构、降低成本。

课程设计(数字日历钟表的设计)

课程设计说明书(论文) 课程名称:课程设计1 设计题目:数字日历钟表的设计 院系: 班级: 设计者: 学号: 设计时间:2013-6-19

哈尔滨工业大学 哈尔滨工业大学课程设计任务书 姓名:院(系): 专业:班号: 任务起至日期:2013 年 5 月日至2013 年 6 月19 日 课程设计题目:数字日历钟的设计 已知技术参数和设计要求: 1.数码管显示:秒、分、时(可同时显示,也可轮换显示) 2.能够设置时间,“设置按键”数量不限,以简单合理易用为好。 3.误差:1 秒/天(报告中要论述分析是否满足要求) 扩展(优秀必作) 1.设置校准键:当数字钟显示在“整点±30 秒”范围时,按动“校准键”,数字钟即刻被调整到整点,消除了±30 秒的误差。 2.加上“星期”显示(可以预置),并可以对其进行设置。 其他要求: 1.按动员老师的要求、课程设计报告规范进行设计 2.不允许使用时数字钟表、日历专用IC 电路。 3.可以使用通用器件:模拟、数字、单片机、EPLD、模块电路等。 4.设计方法不限。

工作量: 1. 查找资料 2. 设计论证方案 3. 具体各个电路选择、元器件选择和数值计算 4. 具体说明各部分电路图的工作原理 5. 绘制电路原理图 6. 绘制印刷电路图 7. 元器件列表 8. 编写调试操作 9. 打印论文 工作计划安排: 1. 查阅资料: 2. 方案论证 3. 设计、分析、计算、模拟调试、仿真、设计原理 4. 撰写报告:课程设计要求、方案论证、原理论述(原理框图、原理图)、分析、计算、仿真, PCB 图的设计,误差分析、总结,参考文献等 5. 上交课程设计论文2013-6-19 同组设计者及分工:

集成电路课程设计(CMOS二输入及门)

) 课程设计任务书 学生姓名:王伟专业班级:电子1001班 指导教师:刘金根工作单位:信息工程学院题目: 基于CMOS的二输入与门电路 初始条件: 计算机、Cadence软件、L-Edit软件 要求完成的主要任务:(包括课程设计工作量及其技术要求,以及说明书撰写等具体要求) & 1、课程设计工作量:2周 2、技术要求: (1)学习Cadence IC软件和L-Edit软件。 (2)设计一个基于CMOS的二输入的与门电路。 (3)利用Cadence和L-Edit软件对该电路进行系统设计、电路设计和版图设计,并进行相应的设计、模拟和仿真工作。 3、查阅至少5篇参考文献。按《武汉理工大学课程设计工作规范》要求撰写设计报告书。全文用A4纸打印,图纸应符合绘图规范。 时间安排: 布置课程设计任务、选题;讲解课程设计具体实施计划与课程设计报告格式的要求;课程设计答疑事项。 | 学习Cadence IC和L-Edit软件,查阅相关资料,复习所设计内容的基本理论知识。 对二输入与门电路进行设计仿真工作,完成课设报告的撰写。 提交课程设计报告,进行答辩。 指导教师签名:年月日系主任(或责任教师)签名:年月日

目录 # 摘要 (2) 绪论…....………………………………………….………………….. ..3 一、设计要求 (4) 二、设计原理 (4) 三、设计思路 (4) 3.1、非门电路 (4) 3.2、二输入与非门电路 (6) 、二输入与门电路 (8) } 四、二输入与门电路设计 (9) 4.1、原理图设计 (9) 4.2、仿真分析 (10) 4.3、生成网络表 (13) 五、版图设计........................ (20) 、PMOS管版图设计 (20) 、NMOS管版图设计 (22) 、与门版图设计 (23)

数字电路课程设计弹道设计

淮海工学院 课程设计报告书 课程名称:电子技术课程设计(二)题目:弹道计时器设计 系(院): ////// 学期: 2010-2011-1 专业班级: 88 姓名: 999999 学号: 555555

一、所选课题: 弹道计时器的设计 二、任务与要求 设计一个用来测量手枪子弹等发射物速度的便携式电池供电计时器,这种计时器可用来测定子弹或其他发射物的速度。竞赛射手通常用这种设备来测定装备的性能。 基本操作要求是:射手在两个分别产生起始测量脉冲和终止测量脉冲的光敏传感器上方射出一个发射物,两个光传感器(本例中假定为阴影传感器)分开放置,两者之间的距离已知。发射物在两个传感器之间的飞行时间直接与发射物的速度成正比。如下图所示,当子弹等发射物从上方经过起始传感器产生ST 信号,经过终止传感器时产生SP 信号。传感器之间的距离是固定的。通过测量子弹等发射物经过传感器之间的时间T 就可计算出子弹的速度V=S/t 。 图1 三、方案制定 使用中规模集成电路设计弹道计时器。此方案中主要用到555定时器、十进制计数器、译码器、七段数码管以及一些小型门电路和触发器等。 四、弹道计时器的原理 运用中规模集成电路设计本课题要分为一下几点: (1)传感器对计数器的控制。 在传感器的选择上,要注意传感器的输出信号能否直接控制下一级电路。此论文中采用天幕靶控制计数器的工作与停止。天幕靶是一种光电传感器,它能将光信号转变成电信号,在子弹遮蔽第一个天幕靶时,即会产生一个脉冲,此脉冲带动计数器工作,在子弹遮蔽下一个天幕靶时又产生一个脉冲,让计数器停止工作。若将此脉冲作为使能信号, 就必须使其从子弹到达第一个天幕靶一直维持到 起始传感器 终止传感器 阳光 弹道

数字日历电路设计数字电子技术课程设计报告word精品

数字电子技术课程设计报告设计题目:数字日历 班级:计算机1202

设计目的: 1. 进一步熟悉中、小规模数字集成电路的工作原理及使用方法。 2. 掌握小型数字系统的设计、组装与调试方法。 设计内容: 用常用的中、小规模数字集成电路设计一个小型数字系统,自行设计、完成系统的功能。 设计要求: 1. 理论设计部分 ⑴独立完成系统的原理设计。说明系统实现的功能,应达到技术指标,进行方案论证,确定设计方案。 ⑵画出电路图,说明各部分电路的工作原理,初步选定所使用的各种器件的主要参数及型号,列出元器件明细表。 ⑶系统中包含的中、小规模集成电路的种类至少在六种以上。 2. 模拟仿真 ⑴根据理论设计用multisim 10在计算机上进行仿真。验证所设计方案的正确性。⑵分析电路的工作原理,写出仿真报告。 3. 安装调试部分 ⑴实现所设计的小型数字系统,并进行单元测试和系统调试,完成系统功能。 ⑵若系统出现故障,排除系统故障,分析并记录系统产生故障的原因,并将此部分内容写在

报告中。 摘要 日历是一种日常使用的出版物,用于记载日期等相关信息。每页显示一日信息的叫日历,每页显示一个月信息的叫月历,每页显示全年信息的叫年历。有多种形式,如挂历、座台历、年历卡等,如今又有电子日历。 逢年过节,往往会送亲友日历已显亲情友情可日历在现代社会中是很重要的。而纸制日历对森林保护不利,因此设计电子日历意义重大。在设计日历倒计时器时,采用了模块化的思想,使得设计简单、易懂。本设计能进行月、日、星 期的的计数,在社会生活中具有实际的应用价值。 关键字:日历

目录 课程设计任务书.............................................................. 1...摘要........................................................................... II 1. 概述..................................................................... 1.1 2. 课程设计任务及要求...................................................... 1. 2.1设计任务............................................................ 1. 2.2设计要求............................................................ 1. 3理论设计.................................................................. 1.. 3.1方案论证............................................................ 2. 3.2系统设计............................................................ 2. 3.2.1结构框图及说明 (2) 3.2.2系统原理图及工作原理 (3) 3.3单元电路设计........................................................ 5. 3.3.1单元电路工作原理 (5) 3.3.2元件参数选择 (10) 4. 软件仿真 (11) 4.1仿真电路图 (11) 4.2仿真过程 (12) 4.3仿真结果 (12) 5. 安装调试................................................................ .13 5.1安装调试过程....................................................... 1.3 5.2安装调试结果....................................................... 1.4 5.3故障分析........................................................... 1.5 6. 结论 (16) 7. 使用仪器设备清单 (17) 8. 参考文献................................................................ 1.7

数字电子技术课程设计报告(数字钟)

目录 一.设计目的 (1) 二.实现功能 (1) 三.制作过程 (1) 四.原理框图 (3) 4.1 数字钟构成 (3) 4 .2设计脉冲源 (4) 4.3 设计整形电路 (5) 4.4 设计分频器 (5) 4.5 实际计数器 (6) 4.6 译码/驱动器电路的设计 (7) 4.7 校时电路 (8) 4.8 整点报时电路 (9) 4.9 绘制总体电路图 (10) 五.具体实现 (10) 5.1电路的选择 (10) 5.2集成电路的基本功能 (10) 5.3 电路原理 (11) 六.感想与收获 (12) 七.附录 (14)

数字电子技术课程设计报告 一、设计目的 数字钟是一种用数字电路技术实现时、分、秒计时的装置,与机械式时钟相比具有更高的准确性和直观性,且无机械装置,具有更更长的使用寿命,因此得到了广泛的使用。 数字钟从原理上讲是一种典型的数字电路,其中包括了组合逻辑电路和时序电路。 钟表的数字化给人们生产生活带来了极大的方便,而且大大地扩展了钟表原先的报时功能。诸如定时自动报警、按时自动打铃、时间程序自动控制、定时广播、定时启闭电路、定时开关烘箱、通断动力设备,甚至各种定时电气的自动启用等,所有这些,都是以钟表数字化为基础的。因此,研究数字钟及扩大其应用,有着非常现实的意义。 石英数字钟,具有电路简洁,代表性好,实用性强等优点,在数字钟的制作中,我们采用了传统的PCMS大规模集成电路为核心,配上LED发光显示屏,用石英晶体做稳频元件,准确又方便。 二、实现功能 ①时间以12小时为一个周期; ②显示时、分、秒; ③具有校时功能,可以分别对时及分进行单独校时,使其校正到标准时间; ④计时过程具有报时功能,当时间到达整点前10秒进行蜂鸣报时;

电子万年历课程设计

烟台南山学院单片机课程设计题目电子万年历 姓名: 所在学院:烟台南山学院 所学专业:自动化 班级: 学号: 指导教师: 完成时间:

摘要 单片机作为当今领域应用广泛的电子器件,以其极高的性能价格比,受到人们的重视和关注,应用很广、发展很快。单片机体积小、重量轻、抗干扰能力强、环境要求不高、价格低廉、可靠性高、灵活性好、开发较为容易。由于具有上述优点,在我国,单片机已广泛地应用在工业自动化控制、自动检测、智能仪器仪表、家用电器、电力电子、机电一体化设备等各个方面,而51单片机是各单片机中最为典型和最有代表性的一种。以AT89C51芯片为核心,辅以必要的电路,设计了一个简易的电子时钟,它由5V直流电源供电,通过数码管能够准确显示时间,日期,调整时间,日期,从而到达学习、设计、开发软、硬件的能力。 时钟电路在计算机系统中起着非常重要的作用,是保证系统正常工作的基础。在一个单片机应用系统中,时钟有两方面的含义:一是指为保障系统正常工作的基准振荡定时信号,主要由晶振和外围电路组成,晶振频率的大小决定了单片机系统工作的快慢;二是指系统的标准定时时钟,即定时时间,它通常有两种实现方法:一是用软件实现,即用单片机内部的可编程定时/计数器来实现,但误差很大,主要用在对时间精度要求不高的场合;二是用专门的时钟芯片实现,在对时间精度要求很高的情况下,通常采用这种方法,典型的时钟芯片有:DS1302,DS12887,X1203等都可以满足高精度的要求。本设计由单片机AT89C51芯片和LED数码管为核心,运用DS1302时钟芯片,辅以必要的电路,构成了一个单片机电子时钟。

1 绪论 (1) 2 总体方案设计与论证 (2) 2.1数字时钟方案 (2) 2.2显示方案 (3) 3 硬件系统的方案设计 (4) 3.1 系统框图 (4) 3.2 单片机的选择 (4) 3.3 时钟电路DS1302 (7) 3.4 时钟电路及复位电路 (9) 3.5 驱动电路 (9) 3.6 显示电路 (10) 3.7 按键接口 (11) 4 软件系统设计 (12) 4.1 时间信息获取程序 (12) 4.2 显示程序 (12) 5 系统调试 (13) 5.1 系统调试 (13) 5.2 时钟显示 (13) 5.3 DS1302的调试 (13) 5.4 按键电路调试 (13) 6 总结 (14) 参考文献 (15) 附录:系统程序 (16)

CMOS模拟集成电路课程设计

电子科学与技术系 课程设计 中文题目:CMOS二输入与非门的设计 英文题目: The design of CMOS two input NAND gate 姓名:张德龙 学号: 1207010128 专业名称:电子科学与技术 指导教师:宋明歆 2015年7月4日

CMOS二输入与非门的设计 张德龙哈尔滨理工大学电子科学与技术系 [内容摘要]随着微电子技术的快速发展,人们生活水平不断提高,使得科学技术已融入到社会生活中每一个方面。而对于现代信息产业和信息社会的基础来讲,集成电路是改造和提升传统产业的核心技术。随着全球信息化、网络化和知识经济浪潮的到来,集成电路产业的地位越来越重要,它已成为事关国民经济、国防建设、人民生活和信息安全的基础性、战略性产业。 集成电路有两种。一种是模拟集成电路。另一种是数字集成电路。本次课程设计将要运用S-Edit、L-edit、以及T-spice等工具设计出CMOS二输入与非门电路并生成spice文件再画出电路版图。 [关键词]CMOS二输入与非门电路设计仿真

目录 1.概述 (1) 2.CMOS二输入与非门的设计准备工作 (1) 2-1 .CMOS二输入与非门的基本构成电路 (1) 2-2.计算相关参数 (2) 2-3.电路spice文件 (3) 2-4.分析电路性质 (3) 3、使用L-Edit绘制基本CMOS二输入与非门版图 (4) 3-1.CMOS二输入与非门设计的规则与布局布线 (4) 3-2.CMOS二输入与非门的版图绘制与实现 (5) 4、总结 (6) 5、参考文献 (6)

1.概述 本次课程设计将使用S-Edit画出CMOS二输入与非门电路的电路图,并用T-spice生成电路文件,然后经过一系列添加操作进行仿真模拟,计算相关参数、分析电路性质,在W-edit中使电路仿真图像,最后将电路图绘制电路版图进行对比并且做出总结。 2.CMOS二输入与非门的设计准备工作 2-1 .CMOS二输入与非门的基本构成电路 使用S-Edit绘制的CMOS与非门电路如图1。 图1 基本的CMOS二输入与非门电路 1

数字日历电路设计EDA实训

成绩 批阅教师 日期 桂林电子科技大学 实训报告 2016-2017学年第1学期 学院海洋信息工程学院 课程EDA综合实训 姓名钟朝林

学号 1416030218 指导老师覃琴 日期2016/12/29 实训题目:数字日历电路的设计 1 概述 1.1 设计要求 1.1.1 设计任务 设计并制作一台数字日历。 1.1.2 性能指标要求 ①用EDA实训仪的I/O设备和PLD芯片实现数字日历的设计。 ②数字日历能够显示年、月、日、时、分和秒。 ③用EDA实训仪上的8只八段数码管分两屏分别显示年、月、日和时、分、秒,即在一定时间段内显示年、月、日(如20080101),然后在另一时间段内显示时、分、秒(如00123625),两个时间段能自动倒换。 ④数字日历具有复位和校准年、月、日、时、分、秒的按钮,但校年和校时同用一个按钮,即在显示年、月、日时用此按钮校年,在显示时、分、秒时则用此按钮校时,依此类推。 1.2 总体设计基本原理及框图 1.2.1 基本原理 日历主要由年月日模块、时分秒模块、控制模块、显示模块、校时模块组成。采 用3个公用按钮j1、j2、和j3完成时分秒或年月日的校时,用8只七段数码管分 时完成时分秒或年月日的显示。设计电路的计时器模块(jsq24)用于完成一天 的24小时计时;年月日模块接收计时器模块送来的“天”脉冲进行计数,得到 日月年的显示结果,控制模块产生控制信号k,控制数码显示器显示年月日,还 是时分秒,或者自动轮流显示;校时选择模块在k信号的控制下,选择将j1、j2 和j3这3个校时按钮产生的信号是送到计时器模块的校秒、校分和校时输入端, 还是送到年月日模块的校天、校月、校年输入端;显示选择模块在k信号的控制 下,选择是将计时器模块的时、分、秒状态信号,还是将年月日模块的年、月、 日状态信号送到数码管显示器显示。 1.2.2 总体框图

相关文档
相关文档 最新文档