文档库 最新最全的文档下载
当前位置:文档库 › 模拟乘法器3

模拟乘法器3

模拟乘法器3
模拟乘法器3

模拟乘法器

摘要

本设计以集成模拟乘法器芯片MC1595构成乘法电路,以通用的51系列单片机+周立功最小系统(ZLG )对TLC5615的电压控制输出直流信号输出,并用信号发生器实现交流信号输出,同时还可实现波形幅度的数控可调。

一、方案论证与选择

方案一、采用数控可调电阻AD8403对一个输入端的电阻阻值进行修改,这样便可以修改MC1595的K 值,但是计算出的阻值并不精确,这样会使 误差变大,故不采用。

方案二、采用通用的51系列单片对DA 芯片TLC5615进行控制,将DA 输出的值输入到模拟乘法器的一个输入端,这样就可以直接控制且数控可调,可将误差变至最小,故采用此方案。

系统整体结构如图1所示,本系统主要有最小系统+ZLG 控制模块、DA 转换模块、MC1595模块、741运放模块组成。

二、电路设计与分析

1、最小系统+ZLG 模块

本系统采用现在比较通用的51系列单片机。51系列单片机的发展已经有比较长的时间,应用比较广泛,各种技术都比较成熟,此系列单片机是8位机,其最小系统的外围电路由自己设计和制作,可以灵活应用。

周立功显示模块,主要以ZLG7289芯片为单位。数码管显示,十六按键。周立功芯片控制端分为四个端口,CS 片选端、DIO 数据输入输出端,CLOCK 最小系统

+ZLG 模块

DA 转换模块 MC1595模块 741运放模块 输入 输入 信号输出 图1 系统框图

时钟信号端,KEY 按键信号端。芯片本身含有八个段选端,八个位选端。按键部分可以由八个位选,八个段选构成8×8=64个按键。数码管显示可以最多8位。据实际情况,我们选用按键2×8=16个按键。程序对按键的判断通过判断是否周立功KEY 端是否有按键按下的信号,有就通过读DIO 发来的数据来判断哪个按键按下。通过软件的处理,实现D/A 数字量的输入。

2、模拟乘法器模块

模拟乘法器是一种时变参量电路。在高频电路中,乘法器是实现频率变换的基本组件,与一般非线性器件相比,乘法器可进步一克服某些无用的组合频率分量,使输出信号频谱得以净化。

模拟乘法器以MC1595芯片为核心,它是对两个模拟信号(电压或电流)实现相乘功能的有源非线性器件。其主要功能是实现两个互不相关信号的相乘,且输出信号与两输入信号之乘积成正比,可以表示为:

21I I O u Ku u = (1)

K 为比例系数,为正值时是同相乘法器,为负值时是反向乘法器。所以可得出MC1595电路中K 的值,可表示为:

)(231I R R R K Y X ??= (2)

1R 为芯片1号脚所接的电阻,X R 、Y R 分别为两个输入端的电阻。

由DATASHEET 可得出MC1595通用电路,如图2所示。

图2 MC1595原理图

模拟乘法器属于非线性器件还是线性器件取决于两个输入电压的性质。一般情况下当两个输入信号ux 和uy 均不确定时,模拟乘法器体现出非线性特性,属于非线性器件;然而,在一定的条件下,当两个输入信号u x 或u y 中,有一个

为恒定直流电压时,如u x =E ,则有21I I O u Ku u =。可见,此时模拟乘法器相当于一个线性放大器,放大倍数为K ’=KE ,模拟乘法器为线性器件。因此,为了简化动态误差的分析,通常在乘法器的一个输入端加上固定的直流电压,另一输入端加上正弦交流电压,使乘法器对输入的交流信号电压起线性放大作用。在乘法器的一个输入端加上正弦交流电压,另一输入端加上直流电压,使乘法器对输入的交流信号电压起衰减作用。同时,模拟乘法器输出的波形幅度也因为输入数字量的不同而改变,这样即实现了对幅度的数控可调。

图中,741模块将模拟乘法器的输出起了衰减作用,这样使电路不至于殷输出的电压过大而产生误差或者是烧坏。

3、DA 转换模块

主要以TLC5615芯片为单位。一个电位器调节TLC5615基准电压输出。在输出级加了滤波电路,消除TLC5615输出的干扰信号。滤波电路采用了RC 滤波电路。TLC5615是一块D/A 转化模块,采用串口发送数据,最大发送数据10位。单片机对TLC5615的控制端分四个端口,但实际只要控制3位,分别为数据输入端DIN ,片选端CS,时钟信号端。因此,可得出TLC5615电路图,如图3所示。

由TLC5615的DATASHEET 可得出其输出电压可由公式计算得出,其公式可表示为:

1024)(2IN REFIN OUT X V V = (3) 其中,REFIN V 为基准电压,在电路中将其调为V V REFIN 5.2=;IN X 为输入的待转换值,输入范围0~1023。

三、软件设计与调试

利用ZLG 按键对输入TLC5615的数据进行控制,并将输入的值进行转换后输出,即输入经过模拟乘法器相乘之后的乘积即可。

程序流程图:

按键扫描模块:

数字键的输入只能输入3次,构成一个发送到D/A 的3位数据;如果大于3 次,则前面输入的数据将被覆盖,需要重新输入3位数据;如果小于3次,则在后面补零,构成3位数据。

功能键表示发送,按下功能键,则将输入的数据发送到D/A ,进行转换,同时将变量清零,方便下一次数据的输入与发送。

数字处理模块:

因为本程序输入的是经过模拟乘法器相乘之后的乘积,那么必须将输入的数据进行处理才能发送到D/A 转换。

开始 系统初始化 有键按下? 调用键盘扫描 N Y 功能/数字? 数字键 数字键处理 返回键盘扫描 功能键 发送数据 返回键盘扫描

由前面的公式2和图2可得出10

1 K ;由公式3可知如果想输入经D/A 转换过后的电压值,需将输入的值除以489;而模拟乘法器需要两个量的输入,一个是D/A 转换后的值,另一个可以是固定的电压值,那么将电压值固定为10V ,这样只需将输入的值除以489即可得到要所发送到D/A 转换的值。

四、测试数据

X (DA )输入 Y 输入 输出 绝对误差 相对误差

五、总结

本系统以51系列单片机为核心,通过软件编程,利用MC1595模拟乘法器实现对幅度的数控。尽量做到线路简单,减小电磁干扰。充分利用软件编程,弥补元器件的精度不良。由于水平有限,我们认为系统还有需要改进的地方,便如采用高精度的元器件,测量算法进一步完善等。

模拟乘法器及其应用

模拟乘法器及其应用

摘要 模拟乘法器是一种普遍应用的非线性模拟集成电路。模拟乘法器能实现两个互不相关的模拟信号间的相乘功能。它不仅应用于模拟运算方面,而且广泛地应用于无线电广播、电视、通信、测量仪表、医疗仪器以及控制系统,进行模拟信号的变换及处理。在高频电子线路中,振幅调制、同步检波、混频、倍频、鉴频、鉴相等调制与解调的过程,均可视为两个信号相乘或包含相乘的过程。采用集成模拟乘法器实现上述功能比采用分立器件如二极管和三极管要简单的多,而且性能优越。 Analog multiplier is a kind of widely used nonlinear analog integrated multiplier can be achieved between two unrelated analog multiplication is not only applied in the simulation operation aspect, and widely used in radio, television, communications, measuring instruments, medical equipment and control system, the analog signal conversion and the high frequency electronic circuit, amplitude modulation, synchronous detection, mixing, frequency doubling, frequency, modulation and demodulation process, the same as can be seen as two signal multiplication or contain multiplication function is realized by using integrated analog multiplier than using discrete components such as diodes and transistors are much more simple, and superior performance.

模拟乘法器实验

3.12模拟乘法器 一.实验目的 1. 了解模拟乘法器的构成和工作原理。 2. 掌握模拟乘法器在运算电路中的运用。 二.实验原理 集成模拟乘法器是实现两个模拟信号相乘的器件,它广泛用于乘法、除法、乘方和开方等模拟运算,同时也广泛用于信息传输系统作为调幅、解调、混频、鉴相和自动增益控制电路,是一种通用性很强的非线性电子器件,目前已有多种形式、多品种的单片集成电路,同时它也是现代一些专用模拟集成系统中的重要单元。 1. 模拟乘法器的基本特性 模拟乘法器是一种完成两个模拟信号(连续变化的电压或电流)相乘作用的电子器件,通常具有两个输入端和一个输出端,电路符号如图3-12-1所示。 u x u y o 图3-12-1 模拟乘法器的电路符号 若输入信号为x u , y u ,则输出信号o u 为: o u =k y u x u 式中: k 为乘法器的增益系数或标尺因子,单位为V 1 . 根据两个输入电压的不同极性,乘法输出的极性有四种组合,用图3-12-2所示的工作象限来说明。 图 3-12-2 模拟乘法器的工作象限 若信号x u 、y u 均限定为某一极性的电压时才能正常工作,该乘法器称为单象限乘法器;若信号x u 、y u 中一个能适应正、负两种极性电压,而另一个只能适应单极性电压,则为二象限乘法器;若两个输入信号能适应四种极性组合,称为四象限乘法器。

2. 集成模拟乘法器 集成模拟乘法器的常见产品有BG314、F1595、F1596、MC1495、MC1496、LM1595、LM1596等。下面介绍BG314集成模拟乘法器。 (1) BG314内部结构如图3-12-3所示,外部电路如图3-12-4所示: 1 8 43 7 6 5142+ 9 121110 13 7 图3-12-3 BG314内部电路

模拟乘法器AD834的原理与应用

模拟乘法器AD834的原理与应用 1.AD834的主要特性 AD834是美国ADI公司推出的宽频带、四象限、高性能乘法器,其主要特性如下: ●带符号差分输入方式,输出按四象限乘法结果表示;输出端为集电极开路差分电流结构,可以保证宽频率响应特性;当两输入X=Y=±1V时,输出电流为±4mA; ●频率响应范围为DC~500MHz; ●乘方计算误差小于0.5%; ●工作稳定,受温度、电源电压波动的影响小; ●低失真,在输入为0dB时,失真小于0.05%; ●低功耗,在±5V供电条件下,功耗为280mW; ●对直通信号的衰减大于65dB; ●采用8脚DIP和SOIC封装形式。 2.AD834的工作原理 AD834的引脚排列如图1所示。它有三个差分信号端口:电压输入端口X=X1-X2和Y=Y1-Y2,电流输出端口W=W1-W2;W1、W2的静态电流均为8.5mA。在芯片内部,输入电压先转换为差分电流(V-I转换电阻约为280Ω),目的是降低噪声和漂移;然而,输入电压较低时将导致V-I转换线性度变差,为此芯片内含失真校正电路,以改善小信号V-I转换时的线性特性。电流放大器用于对乘法运算电路输出的电流进行放大,然后以差分电流形式输出。 AD834的传递函数为: W=4XY (X、Y的单位为伏特,W的单位为mA) 3.应用考虑 3.1 输入端连接

尽管AD834的输入电阻较高(20kΩ),但输入端仍有45μA的偏置电流。当输入采用单端方式时,假如信号源的内阻为50Ω,就会在输入端产生1.125mV的失调电压。为消除该失调电压,可在另一输入端到地之间接一个与信号源内阻等值的电阻,或加一个大小、极性可调的直流电压,以使差分输入端的静态电压相等;此外,在单端输入方式下,最好使用远离输出端的X2、Y1作为输入端,以减小输入直接耦合到输出的直通分量。 应当注意的是,当输入差分电压超过AD834的限幅电平(±1.3V)时,系统将会出现较大的失真。 3.2 输出端连接 采用差分输出,可有效地抑制输入直接耦合到输出的直通分量。差分输出端的耦合方式,可用RC耦合到下一级运算放大器,进而转换为单端输出,也可用初级带中心抽头的变压器将差分信号转换为单端输出。 3.3 电源的连接 AD834的电源电压允许范围为±4V~±9V,一般采用±5V。要求VW1和VW2的静态电压略高于引脚+VS上的电压,也就是+VS引脚上的电去耦电阻RS应大于W1和W2上的集电极负载电阻RW1、RW2。例如,RS为62Ω,RW1和RW2可选为49.9Ω,而+V=4.4V,VW1=VW2=4.6V,乘法器的满量程输出为±400mV。 引脚-VS到负电源之间应串接一个小电阻,以消除引脚电感以及去耦电容可能产生的寄生振荡;较大的电阻对抑制寄生振荡有利,但也会使VW1和VW2的静态工作电压降低;该电阻也可用高频电感来代替。 4.应用实例 AD834主要用于高频信号的运算与处理,如宽带调制、功率测量、真有效值测量、倍频等。在某航空通信设备扩频终端机(如图2所示)的研制中,笔者应用AD834设计了扩频信号调制器和扩频信号接收AGC电路。

8.模拟乘法器的应用-乘积型混频器

模拟乘法器的应用 ——乘积型混频器 学号:200800120228 姓名:辛义磊仪器编号:30 一、实验目的 1、掌握集成模拟乘法器的工作原理及其特点 2、进一步掌握集成模拟乘法器(MC1596/1496)实现振幅调制、同步检波、混频、倍频的电路调整与测试方法 二、实验仪器 低频信号发生器 高频信号发生器 频率计 稳压电源 万用表 示波器 三、实验原理与实验电路 集成模拟乘法器是继集成运算放大器后最通用的模拟集成电路之一,是一种多用途的线性集成电路。可用作宽带、抑制载波双边带平衡调制器,不需要耦合变压器或调谐电路,还可作为高性能的SSB乘法检波器、AM调制解调器、FM解调器、混频器、倍频器、鉴相器等,它与放大器相结合还可以完成许多数学运算,如乘法、除法、乘方、开放等。 MC1496的内部电路继引脚排列如图所示

MC1496型模拟乘法器只适用于频率较低的场合,一般工作在1MHz以下的频率。双差分对模拟乘法器MC1496/1596的差值输出电流为 MC1595是差值输出电流为 式中,错误!未找到引用源。为乘法器的乘法系数。 MC1496/1596使用时,VT 1至VT 6 的基极均需外加偏置电压。 实验电路 四、实验步骤

检查电路无误后接通电源,完成如下操作: 1、 当本振信号的频率为43 .4=L f MHz 、振幅为5 .0≤-p p V V ,输入信号的频率 为4 =C f MHz ,振幅为50 ≤-p p V mV 时,观察并测绘输入输出信号波形,记 录I L C f f f 、、。 2、当本振信号的频率为43.4=L f MHz 、振幅为5.0≤-p p V V ,输入信号的振幅为 50 ≤-p p V mV 时,改变输入信号频率C f (在3.9-4.1MHz 之间,每隔200kHz 测量 一次),测量输出信号的频率和幅度,记录在表格中,并由此计算带通滤波器的 通频带宽度。 f c 3.9MHz 4.0MHz 4.1MHz f 4.43 MHz 4.43 MHz 4.43 MHz v 500mV 500mV 500mV 3、保持两输入信号的频率及本振信号幅度不变,改变输入信号振幅V sm (峰峰值在40-100mV 之间变化)的大小,逐渐测量输入V sm 和中频输出V im 。将测量及计算结果填入表格中,并完成下列任务: ①计算混频增益A vc 。将混频电压增益A vc 定义为变频器中频输出电压幅值与输入信号幅值之比,以分贝表示为sm vc V V A Im lg 20= ②作出V sm 和V im 的关系曲线 V sm 40 mV 60 mV 80 mV 100mV V im 60mV 85mV 100mV 120mV 五、思考题

实验四 集成电路模拟乘法器的应用

实验四集成电路模拟乘法器的应用 模拟乘法器是利用晶体管的非线性特性,经过电路上的巧妙设计,在输出中仅保留两路输入信号中由非线性部分产生的信号的乘积项,从而获得良好的乘积特性的集成器件。在高频电子线路中,振幅调制、同步检波、混频、倍频、鉴频、鉴相等调制与解调的过程,均可视为两个信号相乘或包含相乘的过程。采用集成模拟乘法器实现上述功能比采用分立器件如二极管和三极管要简单的多,而且性能优越。所以目前在无线通信、广播电视等方面应用较多。集成模拟乘法器的常见产品有BG314、F1595、F1596、MC1495、MC1496、LM1595、LM1596等。本实验仅介绍MC1496集成模拟乘法器。 一、实验目的 1.了解模拟乘法器(MC1496)的组成结构与工作原理,掌握其调整与特性参数的测量方法。 2.掌握利用乘法器实现振幅调制(AM与DSB)、同步检波、混频、倍频等几种频率变换电路的原理及设计方法。 3.学会综合地、系统地应用已学到模电、数电与高频电子线路的知识,掌握对振幅调制、同步检波、鉴频、混频和倍频电路的设计与仿真技能,提高独立解决问题的能力。二、实验设备与仪器 高频实验箱 WHLG-2 一台 数字双踪示波器 TDS-1002 一台 高频信号发生器 WY-1052 一台 数字万用表一块 三、实验任务与要求 1、模拟乘法器1496的构成、基本原理说明 ①集成模拟乘法器的内部结构 MC1496集成模拟乘法器的内部电路结构和引脚排列如图4-1所示。 图4-1 MC1496的内部电路及引脚图

MC1496是双平衡四象限模拟乘法器。其中V1、V2与V3、V4组成双差分放大器,V5、V6组成的单差分放大器用以激励V1~V4。V7、V8及其偏置电路组成差分放大器V5、V6的恒流源。引脚8与10接输入电压C u ,1与4接另一输入电压t u ,输出电压o u 从引脚6与12输出。引脚2与3外接电阻R E ,对差分放大器V5、V6产生串联电流负反馈,以扩展输入电压y u 的线性动态范围。引脚14为负电源端(双电源供电时)或接地端(单电源供电时),引脚5外接电阻R5。用来调节偏置电流I 5及镜像电流I 0的值。 ② 集成模拟乘法器的1496偏置电压与电流的确定 ● 静态偏置电压的确定 静态偏置电压的设置应保证各个晶体管工作在放大状态,即晶体管的集—基极间的电压应大于或等于2V ,小于或等于最大允许工作电压。根据MC1496的特性参数,对于图4-1所示的内部电路,应用时,静态偏置电压(输入电压为0时)应满足下列关系,即: 12641108,,u u u u u u === ?? ? ?? ≥-≥≥-≥≥-≥V u u u V V u u u u V V u u u u V 7.2),(157.2),(),(152),(),(1554141108108126 ● 静态偏置电流的确定 一般情况下,晶体管的基极电流很小,对于图4-1,三对差分放大器的基极电流I 8、I 10、I 1和I 4可以忽略不计,因此器件的静态偏置电流主要由恒流源的值确定。当器件为单电源工作时,引脚14接地,5脚通过一电阻R 5接正电源(+U CC 的典型值为+12V ),由于I 0是I 5的镜像电流,所以改变电阻R 5可以调节I 0的大小,即: 当器件为双电源工作时,引脚14接负电源-U EE (一般接-8V),5脚通过一电阻R 5接地,因此,改变R 5也可以调节I 0的大小,即: 则: 当V EE =-8V ,I 5=1mA 时,可算得: R 5={(8-0.75)/(1X10-3 )}-500=6.75K Ω 取标称电阻,则R5=6.8K Ω 根据MC1496的性能参数,器件的静态电流小于4mA ,一般取mA I I 150==左右。 此时,器件的总耗散功率可由下式估算: ) ()(214551465u u I u u I P D -+-= PD 应小于器件的最大允许耗散功率(33mW )。 ● 负载电阻RC 的选择 Ω +-= ≈5007.0550R V u I I CC Ω +--= ≈5007.0550R V u I I EE Ω--= 5007 .05 5I V R EE

高频,模拟乘法器汇总

实验课程名称:高频电子线路

MC1496 是目前常用的平衡调制/解调器。它的典型应用 包括乘、除、平方、开方、倍频、调制、混频、检波、鉴相、 鉴频、动态增益控制等。MC1496 的和内部电路与外部引脚图 如图1(a)(b)所示 (a)1496内部电路 (b)1496引脚图 图1 MC1496的内部电路及引脚图 它内部电路含有 8 个有源晶体管,引脚 8 与 10 接输入电压 VX、1与 4接另一输入电压VY,6 与12 接输出电压 VO。一个理想乘法器的输出为VO=KVXVY,而实际上输出存在着各种误差,其输出的关系为:VO=K(VX +VXOS)(VY+VYOS)+VZOX。为了得到好的精度,必须消除 VXOS、VYOS与 VZOX三项失调电压。引脚 2 与 3 之间需外接电阻,对差分放大器 T5与 T6产生交流负反馈,可调节乘法器的信号增益,扩展输入电压的线性动态范围。 各引脚功能如下: 1:SIG+ 信号输入正端 2: GADJ 增益调节端 3:GADJ 增益调节端 4: SIG- 信号输入负端 5:BIAS 偏置端 6: OUT+ 正电流输出端 7: NC 空脚 8: CAR+ 载波信号输入正端 9: NC 空脚 10: CAR- 载波信号输入负端11: NC 空脚 12: OUT- 负电流输出端 13: NC 空脚 14: V- 负电源 (2)Multisim建立MC1496电路模块 MC1496内部结构multisim电路图和电路模块如图2所示。

图2 MC1496的内部电路及电路模块引脚图 2、AM与DSB电路的设计与仿真 调幅就是用低频调制信号去控制高频振荡(载波)的幅度,使高频振荡的振幅按调制信号的规律变化。把调制信号和载波同时加到一个非线性元件上(例如晶体二极管或晶体三体管),经过非线性变换电路,就可以产生新的频率成分,再利用一定带宽的谐振回路选出所需的频率成分就可实现调幅。幅度调制信号按其不同频谱结构分为普通调幅(AM)信号,抑制载波的双边带(DSB)信号,抑制载波和一个边带的单边带(SSB)信号。 利用模拟乘法器相乘原理实现调幅是很方便的,工作原理如下:在乘法器的一个输入端输入载波信号另一输入端输入调制信号,则经乘法器相乘,可得输出抑制载波的双边带调幅信号的表达为: 若要输出普通调幅信号,只要调节外部电路的平衡电位器,使输出信号中有载波即可。输出信号表达式为: 普通振幅调制电路的原理框图与抑制载波双边带振幅调制电路的原理框图如图3所示 图3 ① AM与DSB电路的设计 查集成模拟乘法器MC1496 应用资料(附录1),得典型应用电路如图4所示。

集成模拟乘法器及其应用-集成电路

第6章 集成模拟乘法器及其应用 6.1集成模拟乘法器 教学要求: 1.掌握集成模拟乘法器的基本工作原理; 2.理解变跨导模拟乘法器的基本原理; 3.了解单片集成模拟乘法器的外部管脚排列及外接电路特点。 一、集成模拟乘法器的工作原理 (一)模拟乘法器的基本特性 模拟乘法器是实现两个模拟量相乘功能的器件,理想乘法器的输出电压与同一时刻两个输入电压瞬时值的乘积成正比,而且输入电压的波形、幅度、极性和频率可以是任意的。其符号如下图所示,K 为乘法器的增益系数。 1.模拟乘法器的类型 理想乘法器—对输入电压没有限制, u x = 0 或 u y = 0 时,u O = 0,输入电压的波形、幅度、极性和频率可以是任意的 。 实际乘法器—u x = 0 , u y = 0 时,u O 1 0,此时的输出电压称为输出输出失调电压。u x = 0,u y 1 0 (或 u y = 0,u x 1 0)时,u O 1 0,这是由于u y (u x )信号直接流通到输出端而形成的,此时 的输出电压为u y (u x )的输出馈通电压。 (二)变跨导模拟乘法器的基本工作原理 变跨导模拟乘法器是在带电流源差分放大电路的基础上发展起来的,其基本原理电路如下图所示。

在室温下,K为常数,可见输出电压u 与输入电压u y、u x的乘积成正比,所以差分放大电路具有乘法功 O 能。但u y必须为正才能正常工作,故为二象限乘法器。当u Y较小时,相乘结果误差较大,因I C3随u Y而变,其比值为电导量,称变跨导乘法器 . 二、单片集成模拟乘法器 实用变跨导模拟乘法器由两个具有压控电流源的差分电路组成,称为双差分对模拟乘法器,也称为双平 衡模拟乘法器。属于这一类的单片集成模拟乘法器有MC1496、MC1595等。MC1496内部电路如下图所示。

集成电路模拟乘法器的应用

课程设计任务书 题目集成电路模拟乘法器的应用 专业、班级学号姓名 主要内容、基本要求、主要参考资料等: 一.主要内容 用集成模拟乘法器MC1496设计调幅器和同步检波器二.基本要求 1:电源电压12v 集成模拟乘法器 MC1496 载波频率 f c=5MHZ 调制信号频率 fΩ=1KHZ 2:完成课程设计说明书,说明书应含有课程设计任务书,设计原理说明,设计原理图,要求字迹工整,叙述清楚,图纸齐备。 3:设计时间为一周。 三.主要参考资料 1:李银华电子线路设计指导北京航天航空大学出版社2005.6 2:谢自美电子线路设计·实验·测试华中科技大学出版社2003.10 3:张肃文高频电子线路高等教育出版社2004.11 完成期限: 指导教师签名: 课程负责人签名: 年月日 目录

第一章mc1496的介绍 第一节模拟乘法器的内部结构及原理 (4) 第二节 mc1496的引脚图及其功能 (5) 第三节 mc1496的内部结构及原理 (6) 第二章 mc1496构成调幅器 第一节调幅器的基本介绍 (10) 第二节振幅调制器的原理图 (12) 第三节振幅调制器的数据说明 (14) 第三章 mc1496构成同步检波器 第一节同步检波器的基本介绍 (14) 第二节振幅同步检波器的原理图 (15) 第三节振幅同步检波器的数据说明 (16) 第四章设计体会 (18) 主要参考文献 振幅调制器的原理图 振幅同步检波器的原理图

摘要 集成模拟乘法器是完成两个模拟量(电压或电流)相乘的电子器件。在高频电子线路中,振幅调制、同步检波、混频、倍频、鉴频、鉴相等调制与解调的过程,均可视为两个信号相乘或包含相乘的过程。集成模拟乘法器MC1496是目前常用的平衡调制/解调器。它的典型应用包括乘、除、平方、开方、倍频、调制、混频、检波、鉴相、鉴频、动态增益控制等。 调幅就是用低频调制信号去控制高频振荡(载波)的幅度,使高频振荡的振幅按调制信号的规律变化。把调制信号和载波同时加到一个非线性元件上经过非线性变换电路,产生新的频率成分,再利用一定带宽的谐振回路选出所需的频率成分就可实现调幅。调制器主要由三部分构成:高频振荡器(产生5MH的正弦信号)、正弦RL信号发生器(产生1KH 的正弦信号)、mc1496构成的振幅调制部分。 检波是从调幅波中取出低频信号的解调过程。它主要也由三部分组成:形成本地载波信号、mc1496构成的同步检波部分,LC二阶低通滤波器。我们可用框图概括调制——解调的过程:

模拟乘法器的应用

随着电子技术的发展,集成模拟乘法器应用也越来越广泛,它不仅应用于模拟量的运算,还广泛应用于通信、测量仪表、自动控制等科学技术领域。用集成模拟乘法器可以构成性能优良的调幅和检波电路,其电路元件参数通常采用器件典型应用参数值。作调幅时,高频信号加到输入端,低频信号加到Y输入端;作解调时,同步信号加到X输入端,已调信号加到Y输入端。调试时,首先检查器件各管脚直流电位应符合要求,其次调节调零电路,使电路达到平衡。集成模拟乘法器是实现两个模拟信号相乘的器件,它广泛用于乘法、除法、乘方和开方等模拟运算,同时也广泛用于信息传输系统作为调幅、解调、混频、鉴相和自动增益控制电路,是一种通用性很强的非线性电子器件,目前已有多种形式、多品种的单片集成电路,同时它也是现代一些专用模拟集成系统中的重要单元。 作调幅时,高频信号加到输入端,低频信号加到Y输入端;作检波时,同步信号加到X输入端,已调信号加到Y输入端。调试时,首先检查器件各管脚直流电位应符合要求,其次调节调零电路,使电路达到平衡。还需注意:(1)Y端 有关,否则输出波输入信号幅度不应超过允许的线性范围,其大小与反馈电阻R Y 形会产生严重失真;(2)X端输入信号可采用小信号(小于26mV)或者大信号(大于260mV),采用大信号可获得较大的调幅或解凋信号输出。信息传输系统中,检波是用以实现电信号远距离传输及信道复用的重要手段。由于低频信号不能实现远距离传输,若将它装载在高频信号上,就可以进行远距离传输,当使用不同频率的高频信号,可以避免各种信号之间的干扰,实现多路复用。 关键词:模拟乘法器,调幅器,检波器,MC1496

第一章、集成模拟乘法器的工作原理 (2) 第一节、模拟乘法器的基本特性 (2) 一、模拟乘法器的类型 (2) 第二节、变跨导模拟乘法器的基本工作原理 (2) 第三节、单片集成模拟乘法器 (3) 第二章、集成模拟乘法器的应用 (4) 第一节、基本运算电路 (4) 一、平方运算 (4) 二、除法运算器 (5) 三、平方根运算 (5) 四、压控增益 (5) 第二节、倍频、混频与鉴相 (6) 一、倍频电路 (6) 二、混频电路 (6) 三、鉴相电路 (6) 第三节、调幅与解调 (7) 一、信息传输的基本概念 (7) 二、调幅原理 (8) 三、采用乘法器实现解调(检波) (10) 第三章、MC1496模拟乘法器构成的振幅器 (10) 第一节、振幅调制的基本概念 (10) 第二节、抑制载波振幅调制 (13) 第三节、有载波振幅调制 (14) 第四章、MC1496模拟乘法器构成的同步检波器 (14) 总结 (18) 参考文献 (18) 附录 (19)

模拟乘法器

沈阳大学科技工程学院 模拟乘法器 1.课程设计目的 随着电子技术的发展,集成模拟乘法器应用也越来越广泛,它不仅应用于模拟量的运算,还广泛应用于通信、测量仪表、自动控制等科学技术领域。 在本次课程设计实验中,通过对高频电子线路的振幅调制与解调,模拟乘法器的学习设计出由双差分对乘法器为主构成的乘法器常规调幅电路,通过对电路的设计,参数的确定,设计出了方案,按照设计的电路图在Multisim 仿真软件中画出具体的仿真电路图并进行了调试,观察实验结果并与课题要求的性能指标做了对比,最后对实验结果经行了分析总结。 2.设计方案论证 2.1 乘法器常规调幅的设计作用 随着电子技术的发展,集成模拟乘法器应用也越来越广泛,它不仅应用于模拟量的运算,还广泛应用于通信、测量仪表、自动控制等科学技术领域。用集成模拟乘法器可以构成性能优良的调幅和解调电路,其电路元件参数通常采用器件典型应用参数值。作调幅时,高频信号加到输入端,低频信号加到Y 输入端;作解调时,同步信号加到X 输入端,已调信号加到Y 输入端。调试时,首先检查器件各管脚直流电位应符合要求,其次调节调零电路,使电路达到平衡。集成模拟乘法器是实现两个模拟信号相乘的器件,它广泛用于乘法、除法、乘方和开方等模拟运算,同时也广泛用于信息传输系统作为调幅、解调、混频、鉴相和自动增益控制电路,是一种通用性很强的非线性电子器件,目前已有多种形式、多品种的单片集成电路,同时它也是现代一些专用模拟集成系统中的重要单元。 2.2乘法器常规调幅设计 调制就是指携带有用信息的调制信号去控制高频载波信号解调是调制的逆过程,将有用的低频信号从高频载波中还原出来。调幅过程是非线性变换的过程。 普通调幅是用需传送的信息(调制信号))(t u Ω去控制高频载波)(t u c 的振幅,使其随调制信号)(t u Ω的规律而变化。 调幅时,载波的频率和相位不变,而振幅将随调制信号线性变化。若载波信号为 t U t u c cm c ωcos )(=,调制信号为)(t u Ω。则普通调幅波的振幅为: )()(t u k U t U a cm cm Ω+=

模拟乘法器1496实验报告

实验课程名称:_高频电子线路

(a)1496内部电路 (b)1496引脚图 图1 MC1496的内部电路及引脚图 它内部电路含有 8 个有源晶体管,引脚 8 与 10 接输入电压 VX、1与 4

图2 MC1496的内部电路及电路模块引脚图 电路的设计与仿真 调幅就是用低频调制信号去控制高频振荡(载波)的幅度,使高频振荡的振幅按调制信号把调制信号和载波同时加到一个非线性元件上(例如晶体二极管或晶体三体管经过非线性变换电路,就可以产生新的频率成分,再利用一定带宽的谐振回路选出所需的频率成分就可实现调幅。幅度调制信号按其不同频谱结构分为普通调幅(AM)信号,抑制载波的双)信号,抑制载波和一个边带的单边带(SSB)信号。 利用模拟乘法器相乘原理实现调幅是很方便的,工作原理如下:在乘法器的一个输入端输入载波信号另一输入端输入调制信号 法器相乘,可得输出抑制载波的双边带调幅信号的表达为: 若要输出普通调幅信号,只要调节外部电路的平衡电位器,使输出信号中有载波即可。输出信号表达式为: 普通振幅调制电路的原理框图与抑制载波双边带振幅调制电路的原理框图如图 图3

图4 1496构成的振幅调制电路电原理图 图中载波信号经高频耦合电容C1输入到Uc ⑩端,C3为高频旁路电容,使⑧交流接地。调制信号经高频耦合电容C2输入到U Ω④端,C5为高频旁路电容,使①交流接地。调制信号从⑿脚单端输出。电路采用双电源供电,所以⑤脚接Rb 到地。因此,改变R 5也可以调节大小,即: 则:当VEE=-8V ,I 5=1mA 时,可算得:(MC1496器件的静态电流一般取I 0=I 5=1mA Ω +--= ≈5007.0550R V u I I EE

模拟乘法器ADL5391的原理与应用

模拟乘法器ADL5391的原理与应用邮件群发 模拟乘法器是现代信号处理系统的重要组成单元,它广泛应用于锁相环、混频器、滤波器等信号处理电路中。ADL5391是美国ADI公司推出的宽频带、高性能、超对称的模拟乘法器。它具有2 GHz的可用带宽,是此前所有模拟乘法器所无法相比的。同时,ADL5391也是目前速度最快的模拟乘法器芯片之一。它将所有电路集成于一块芯片之中,使得ADL5391具有极高的速度。在文中的应用实例中,设计了一种基于ADL5 391的二倍频电路,可对输入的信号进行准确的二倍频,电路性能稳定,可广泛应用于混频、倍频、脉冲调制等领域。 1 ADL5391的主要特性 ADL5391凝聚了ADI公司三十年的先进模拟乘法器技术经验,其主要特性如下: 1)DC至2 GHz对称乘法器,传递函数为VW=αx(VXxVY),1 V+Vz; 2)独特的设计确保了X、Y的绝对对称,X、Y的幅度,时间响应相同; 3)可调、不随温度而变化、增益调整为α; 4)完全差分输入,输出或单端操作; 5)低噪声和高输出线性度; 6)单电源供电:4(5,5(5 V,130 mA; 7)3x3 mm、16引脚小型LFCSP封装。 2 ADL5391的工作原理 ADL5391的功能结构框图如图1所示,传递函数由下式给出: W=aXY,U+Z (1) 其中:X和Y是被乘数;U是乘法器的比例因子;α是乘法器增益;W是乘法器

的输出;Z是一个求和输入。所有的变量和比例因子单位都是伏特。 ADL5391最重大的改进就是采用了新型乘法器内核架构,它与自1970年开始使用的传统架构明显不同。传统的模拟乘法器(如AD835)几乎完全由吉尔伯特单元的拓扑结构或与其相近的电路实现。X和Y不对称的信号路径造成了X和Y之间幅度和时延的不平衡,这在高频时会出现问题。在ADL5391中,新型的乘法器内核提供了X和Y之间绝对的对称,尽量减小吉尔伯特单元中本身的差异。 ADL5391的功能结构框图展示了主乘法器单元和反馈乘法器单元,其中主乘法器用于接收X和Y输入信号,反馈乘法器位于反馈路径上,围绕在积分缓冲区附近,它的输入量是输出信号与求和输入信号之差(W-Z),和内部比例参考值。其中,反馈乘法器和主乘法器是相同的,由于该反馈乘法器基本上补偿了主乘法器上产生的缺损,因此常见的噪声、漂移或失真基本上被限制在了一阶。 3 ADL5391的应用实例 ADL5391主要运用于高频信号的运算和处理,如宽带的乘法和加法,高频模拟调制,自适应天线,平方律探测器,倍频等。以下给出了基于ADL5391的宽带乘法器电路,并且设计了基于该模拟乘法器的二倍频电路,并对其分别进行了性能测试。

模拟乘法器应用实验实验报告

模拟乘法器应用实验实验报告 姓名:王攀 学号:04085037 实验目的: (1)了解模拟乘法器的工作原理 (2)学会利用模拟乘法器完成平衡调制、混频、倍频、同步检波、鉴相及鉴频等功能。 实验仪器: 高频信号发生器QF1055A 一台; 超高频毫伏表DA22A 一台; 频率特性测试仪BT-3C 一台; 直流稳压电源HY1711-2 一台; 数字示波器TDS210 一台. 实验原理: 实验电路如图1所示。该电路可用来实现普通调幅、平衡调制、混频、倍频、同步检波等功能。图中R L为负载电阻,R B是偏置电阻,R E是负载反馈电阻,R W和R1、R2组成平衡调节电路,调节R W,可使1、4两脚的直流电位差为零,从

而满足平衡调幅的需要,若1、4脚直流电位差不为零,则1、4输入包括调制信号和直流分量两部分,此时可实现普通调幅波,电感L1和C1、C2组成BPF以混频输出所需的465KHz 中频信号,同步检波可用前边的限幅器(未给处)和模拟乘法器及低通滤波器(L2 C3 C4)构成。 图1.模拟乘法器应用电路一:振幅调制、混频等 实验内容: 1.实验前,所有实验先进行计算机仿真,研究载波、调 制信号大小及频率变化,直流分量大小对已调信号的 影响。 2.用模拟乘法器MC1596实现正弦调幅。分别加入 f x=500KHz,U x=100mV,f y=10KHz,U y=0.2V的信号时 调电位器R W工作在不平衡状态时便可产生含载波的

正弦调幅信号。 a:保持U x(t)不变,改变U y值:50mV、100mV、150mV、200mV、250mV时,观察U o(t)的变化,并作出m~U y(t)关系曲线(*m指以调信号的调幅系数测试时可用公式m=(A-B)/(A+B)) b:保持U y(t)不变,f y由小到大变化时,输出波形又如何变化? 3.用模拟乘法器MC1596实现平衡调幅波。 a:调平衡:将乘法器y输入端接地,即U y(t)=0,x输入端加入f x=500KHz,U x=50mV的输入信号,调电位器R W 使U o(t)=0。 b:分别加入f x=500KHz,U x=50mV;f y=50KHz,U y=200mV的信号时,微调R W即可得到平衡的双边带信号,描绘U o(t)的波形,要特别注意调制信号过零时载波倒相现象。 4.用MC1596实现倍频:调整模拟乘法器仍工作在平衡状态,在x输入端和y输入端同时加f i=200KHz,U i=50mV 信号,微调R w,用示波器双踪观察U o(t) 和U i(t)的关系,即有f o=2f i。

第7章 集成模拟乘法器

93 第七章 集成模拟乘法器及其应用 7.1 电路如图P7.1所示,试写出输出电压u O 与输入 u I 的关系式。 解:322)(I I I O u K Ku Ku u == 7.2 电路如图P7.2 所示,乘法器的增益系数K=0.1V -1, 试求:(1)u 1=2V 、u 2=4V 时,u O =?(2)u 1=-2V 、u 2=4V 时, u O =?(3)u 1=2V 、u 2=-4V 时,u O =? 解:(1)12'u u Ku u O O ?==,所以 V Ku u u O 541.0221?=×?=?= (2)V Ku u u O 54 1.0221=×=?= (3)因u 2为负极性,运放工作在正反馈状态,故电路不能正常工作。 7.3 电路如图P7.3(a)、(b)所示,求输出电压u O 的表达式,并说明对输入电压u 1、u 2有什么要求? 解:(a)由集成运放可得u 1=u N 由乘法器可得 2 122 R R R u Ku u O N += 由此可得输出电压表达式为 图P7.1 图P7.2

94 2 1221u u KR R R u O ?+= 可见输出电压u O 与两个输入电压u 1、u 2之商成正比,实现了除法运算。 当u 2为正极性,乘法器输出电压的极性决定于u 1,集成运放构成负反馈;当u 2为负极性,乘法器输出电压的极性与u 1相反,运放构成正反馈而处于锁定状态,电路工作不正常。所以图6.5(a)电路要实现除法运算,要求u 2必须为正极性,u 1可正可负。 (b)由集成运放可知,u 1=u N ,由乘法器可知,u N =Ku O u 2,所以输出电压 2 1Ku u u O = 为除法运算。同样,为了使运放工作在负反馈状态,要求u 2为正极性,u 1可正可负。 7.4 电路如图P7.4所示,已知模拟乘法器的增益系数 K=0.1V -1,当u 1=2V 时,求u O =?,当u 1=-2V 时,u O 为多少? 解:2 21R Ku R u O I ?= 故 I I I O u u u K R R u 2010 1.02012?=×?=?=,要求u I 为负值。 当u I =2V 时,电路不能正常工作;当u I =-2V 时, V 32.6)2(20u O =?×?= 7.5 正电压开方运算电路如图P7.5所示,试证明u I >0时输出电压等于 I O u KR R u 1 2=

模拟乘法器

模拟乘法器及其应用 学院:信息工程 专业班级:电信1206 姓名:李嘉辛 学号: 0121209310603

摘要 模拟乘法器是一种普遍应用的非线性模拟集成电路。模拟乘法器能实现两个互不相关的模拟信号间的相乘功能。它不仅应用于模拟运算方面,而且广泛地应用于无线电广播、电视、通信、测量仪表、医疗仪器以及控制系统,进行模拟信号的变换及处理。在高频电子线路中,振幅调制、同步检波、混频、倍频、鉴频、鉴相等调制与解调的过程,均可视为两个信号相乘或包含相乘的过程。采用集成模拟乘法器实现上述功能比采用分立器件如二极管和三极管要简单的多,而且性能优越。 Analog multiplier is a kind of widely used nonlinear analog integrated circuits.Analog multiplier can be achieved between two unrelated analog multiplication function.It is not only applied in the simulation operation aspect, and widely used in radio, television, communications, measuring instruments, medical equipment and control system, the analog signal conversion and processing.In the high frequency electronic circuit, amplitude modulation, synchronous detection, mixing, frequency doubling, frequency, modulation and demodulation process, the same as can be seen as two signal multiplication or contain multiplication process.The function is realized by using integrated analog multiplier than using discrete components such as diodes and transistors are much more simple, and superior performance.

模拟乘法器作用及电路

模拟乘法器作用及电路

————————————————————————————————作者:————————————————————————————————日期:

摘要 随着电子技术的发展,集成模拟乘法器应用也越来越广泛。用集成模拟乘法器可以构成性能优良的调幅和检波电路,其电路元件参数通常采用器件典型应用参数值。作调幅时,高频信号加到输入端,低频信号加到Y输入端;作解调时,同步信号加到X输入端,已调信号加到Y输入端。集成模拟乘法器是实现两个模拟信号相乘的器件,它广泛用于乘法、除法、乘方和开方等模拟运算,同时也广泛用于信息传输系统作为调幅、解调、混频、鉴相和自动增益控制电路,是一种通用性很强的非线性电子器件,目前已有多种形式、多品种的单片集成电路,同时它也是现代一些专用模拟集成系统中的重要单元。 作调幅时,高频信号加到输入端,低频信号加到Y输入端;作检波时,同步信号加到X输入端,已调信号加到Y输入端。调试时,首先检查器件各管脚直流电位应符合要求,其次调节调零电路,使电路达到平衡。还需注意:(1)Y 端输入信号幅度不应超过允许的线性范围,其大小与反馈电阻R 有关,否则输出 Y 波形会产生严重失真;(2)X端输入信号可采用小信号(小于26mV)或者大信号(大于260mV),采用大信号可获得较大的调幅或解凋信号输出。信息传输系统中,检波是用以实现电信号远距离传输及信道复用的重要手段。由于低频信号不能实现远距离传输,若将它装载在高频信号上,就可以进行远距离传输,当使用不同频率的高频信号,可以避免各种信号之间的干扰,实现多路复用。 关键词:模拟乘法器,调幅器,检波器,MC1496 目录

集成模拟乘法器

集成模拟乘法器 单片集成模拟乘法器种类较多, 由于内部电路结构不同, 各项参数指标也不同。在选择时, 应注意以下主要参数:工作频率范围、电源电压、输入电压动态范围、线性度等现将常用的 公司MC1496/1596(国内同类型号是XFC-1596), MC1495/1595(国内同类型号是BG314)和 MC1494/1594单片模拟乘法器的参 数指标简介如下。 MC14系列与MC15系列的主 要区别在于工作温度, 前者为0℃~ 70℃, 后者为-55℃~125℃。其余 指标大部分相同, 个别后者稍好一 些。表6.3.1给出了MC15系列三种 型号模拟乘法器的参数典型值。 MC1596是以双差分电路为基础, 在Y输入通道加入了反馈电阻, 故Y通道输入电压动态范围较大, X通道输入电压动态范围很小。图6.3.3是MC1596内部电路图。MC1595是在MC1596中增加了X通道线性补偿网络, 使X通道输入动态范围增大。MC1594是以MC1595为基础, 增加了电 压调整器和输出电流放大器。 MC1595和MC1594分别作为第一代和第二代模拟乘法器的典型产品, 线性度很好, 既可用于 乘、除等模拟运算, 也可用于调制、解调等频率变换, 缺点是工作频率不高。 MC1596工作频率高, 常用作调制、解调和混频, 通常X通道作为载波或本振的输入端, 而调制信号或已调波信号从Y通道输入。当X通道输入是小信号(小于26 mV)时, 输出信号是X、Y通道输入信号的线性乘积。 MC1596是以双差分电路为基础, 在Y输入通道加入了反馈电阻, 故Y通道输入电压动态范围较大, X通道输入电压动态范围很小。图6.3.3是MC1596内部电路图。MC1595是在MC1596中增加了X通道线性补偿网络, 使X通道输入动态范围增大。MC1594是以MC1595为基础, 增加了电压调整器和输出电流放大器。MC1595和MC1594分别作为第一代和第二代模拟乘法器的典型产品, 线性度很好, 既可用于乘、除等模拟运算, 也可用于调制、解调等频率变换, 缺点是工作频率不高。MC1596工作频率高, 常用作调制、解调和混频, 通常X通道作为载波或本振的输入端, 而调制信号或已调波信号从Y通道输入。当X通道输入是小信号(小于26 mV)时, 输出信号是X、Y通道输入信号的线性乘积。

实验6 集成乘法器混频器实验

实验6 集成乘法器混频器实验 一、实验准备 1.做本实验时应具备的知识点: ●混频的概念 ●MC1496模拟相乘器 ●用模拟乘法器实现混频 2.做本实验时所用到的仪器: ●集成乘法器混频模块 ●LC振荡与射随放大模块 ●高频信号源 ●双踪示波器 二、实验目的 1. 了解集成混频器的工作原理,掌握用MC1496来实现混频的方法; 2. 了解混频器的寄生干扰。 三、实验内容 1. 用示波器观察输入输出波形; 2. 用频率计测量混频器输入输出频率; 3. 用示波器观察输入波形为调幅波时的输出波形。 四、基本原理 混频器的功能是将载波为fs(高频)的已调波信号不失真地变换为另一载频fi (固定中频)的已调波信号,而保持原调制规律不变。例如在调幅广播接收机中,混频器将中心频率为535-1605KHZ的已调波信号变为中心频率为465KHZ的中频已调波信号。此外,混频器还广泛用于需要进行频率变换的电子系统及仪器中,如频率合成器,外差频率计

等。混频器的电路模型如图6-1所示。 混频器常用的非线性器件有二极管、三极管、场效应管和乘法器。本振用于产生一个等幅的高频信号,并与输入信号Us 经混频器后所产生的差频信号经带通滤波器滤出。目前,高质量的通信接收机广泛采用二极管环形混频器和由差分对管平衡调制器构成的混频器,而在一般接收机(例如广播收音机)中,为了简化电路,还是采用简单的三极管混频器,本实验采用集成模拟相乘器作混频电路实验。 图6-2是用MC1496构成的混频器,本振电压U L (频率为(8.8MHZ)从乘法器的一个输入端(10脚)输入,信号电压Vs(频率为6.3MHZ)从乘法器的另一个输入端(1脚)输入,混频后的中频(Fi=F L -Fs)信号由乘法器的输出端(6脚)输出。输出端的带通滤波器必须调谐在中频Fi 上,本实验的中频为Fi=F L -Fs=8.8MHZ-6.3MHZ=2.5MHZ 。 为了实现混频功能,混频器件必须工作在非线性状态,而作用在混频器上的除了输入信号电压Us 和本振电压U L 外,不可避免地还存在干扰和噪声。它们之间任意两者都有可能产生组合频率,这些组合频率如果等于或接近中频,将与输入信号一起通过中频放大器、解调器,对输出级产生干扰,影响输入信号的接收。 干扰是由于混频不满足线性时变工作条件而形成的,因此不可避免地会产生干扰,其中影响最大的是中频干扰和镜像干扰。 i 图6-1 混频器电路模型

相关文档