文档库 最新最全的文档下载
当前位置:文档库 › “数字电子技术”作业 (1)

“数字电子技术”作业 (1)

“数字电子技术”作业 (1)
“数字电子技术”作业 (1)

第1章作业

1.1为了将600份文件顺序编码,如果采用二进制代码,最少需要用几位?如果改用八进制或十六进制代码,则最少各需要用几位?解:10位4位3位

1.4将下列二进制数转换为等值的十进制数。

(1)(101.011)2 ;(3)(1111.1111)2。

解(1)(101.011)2 =5.375 (3)(1111.1111)2=15.9375

1.5将下列二进制数转换为等值的八进制数和十六进制数。

(2)(1001.1101)2;(4)(101100.110011)2。

解:(2)(1001.1101)2=11.64Q=9DH (4)(101100.110011)2=54.63Q=https://www.wendangku.net/doc/eb8027481.html,H 1.6将下列十六进制数转换为等值的二进制数。

(1)(8.C)16;(3)(8F.FF)16。

解:(8.C)16=(1000.1111)2 (3)(8F.FF)16=(1000.1111.11111111)2

1.9将下列十进制数转换为等值的二进制数和十六进制数。要求二进制数保留小数点以后4位有效数字。

(2)(188.875)10;(4)(174.06)10。

解:(188.875)10=(10111100.1110)2=(BC.E)16

(174.06)10=(10101110.1001)2=(AE.0F.)16

1.14用二进制补码运算计算下列各式。式中的4位二进制数是不带符号位的绝对值。如果和为负数,请求出负数的绝对值。(提示:所用补码的有效位数应足够表示代数和的最大绝对值。)

(2)1101+1011;(4)1101-1011;(6)1011-1101;(8)-1101-1011。

解:2)1101+1011;(4)1101-1011;(6)1011-1101;(8)-1101-1011。

第2章作业

2.4已知逻辑函数的真值表如表P2.4(a)、(b)所示,试写出对应的逻辑函数式。

表P2.4(a)表P2.4(b)

解:Y=ABC+ABC+ABC

Z=M ’N ’PQ+M ’NPQ ’+M ’NPQ+MN ’PQ+MNP ’Q ’+MNP ’Q+MNPQ ’+MNPQ

2.7写出图P2.7(a )、(b )所示电路的输出逻辑函数式。

图P2.7

解:Y1=[(A+B)’C]’+(C ’D)’

Y2=[(AB ’)’E+(B ’CD)’E]’

2.8已知逻辑函数Y 的波形图如图P2.8所示,试求Y 的真值表和逻辑函数式。

图P2.8

解:Y=ABC ’+AB ’C+A ’BC

2.10将下列各函数式化为最小项之和的形式。

(1)C B AC BC A Y '++'=

(3)CD B A Y ++=

(5)L N N M M L Y '+'+'=

(1)Y=A'BC+AC+B'C=A'BC+A(B+B ’)C+(A+A ’)B'C

=A'BC+ABC+AB ’C+AB ’C+A ’B'C=∑m(1,5,6,7)

(3)Y=A+B+CD =∑m(3,4,5,6,7,8,9,10,11,12,13,14,15)

(5)Y=LM'+MN'+NL'=∑m(1,3,4,5,6)

2.12将下列逻辑函数式化为与非–与非形式,并画出全部由与非逻辑单元组成的逻辑电路

图。

(2)()()()'

+'++'=BC C B A B A Y (4)()()'??? ??+''+''+'

=BC B A B A BC A Y 解:(2)Y=(A'+B)(A+B')C+(BC)‘=(A ’B ’C+ABC+B ’+C ’)’’=((A ’B ’C)’(ABC)’ B ’’

C ’’)’

(4)Y=A(BC)'+((AB')'+A'B'+BC)‘=(AB ’+AC ’)’’=((AB ’)’(AC ’)’)’

2.13将下列逻辑函数式化为或非–或非形式,并画出全部由或非逻辑单元组成的逻辑电路

图。

(1)C B C B A Y '+'=

(3)()D B A D C B C AB Y ''+''

'+'=

解:(1)Y=AB'C+BC ‘=(A+B)(B ’+C ’)(B+C)=((A+B)’+(B ’+C ’)’+(B+C)’)’

(3)Y=(ABC'+B'C)'D'+A'B'D=(((ABC'+B'C)'D'+A'B'D)’)’

= ((ABC'+B'C+D)(A+B+D ’))’ = (ABC'+AD+B ’CD ’+BD)’

=((A ’+B ’+C)’+(A ’+D ’)’+(B+C ’+D)’+(B ’+D ’))’

2.15用逻辑代数的基本公式和常用公式将下列逻辑函数化为最简与或形式。

(2)C B A C B A Y '++'+'=

(4)D C A ABD CD B A Y '++'= (6)()()'??

? ??+'+'+'+'=CE AD B BC B A D C AC Y (8)()()()C B A C B A C B A Y +++'+'

'++=

(10) ()F E AB E D C B E D C B E D B F E B A D C A AC Y '+''+''+⊕+''+'+= 解:

(2)''' '(')' 1

A B A B A B Y AB C A B C AB C AB C =++=+=+++=+=消项

反演律互补律01'('')'

1 (4)''('')('(')')1A BC A B Y AB CD ABD AC D AD B C B C AD B C B C AD AD ===++=++=+==互补律律分配律反演律互补律

(6)('')((')')'0(')(')('')'Y AC C D A B BC B AD CE BC B A B D C E ABCDE =++++=++++=互补反演律(8)(')'(')()

'()'Y A B C A B C A B C A B C A C A B C

=++++++=++=+反演互补

展开并吸收

(7)''('')('')()'Y AC ABC ACD CD A C BC CD CD A C D AB CD

A CD CD AB

CD A AB

A CD

=+++=+++=+++=++=++=+分配律

消因子

反演

消因子吸收

2.17用卡诺图化简法化简以下逻辑函数。

(2)D C B A BC C B A Y ''++'=2

(4)∑=

)14,11,10,9,8,6.4,3,2,1,0(),,,(4m D C B A Y

解:

2.22将下列具有约束项的逻辑函数化为最简与或形式。

(2)()D C B A D C B A D C A Y ''+'''+'

++=2,给定约束条件为0=+'+'+''+'+''ABCD D ABC D C AB D C AB CD B A D C B A 。

(4)()()()()'

+'++'+'=C B B A D C B B A Y 4,给定约束条件为0=+++BCD ACD ABD ABC 。

解:

(2)Y2=AD+BC’D+AB’C

(4)Y4=B’+CD’+A’D’

第3章作业

3.8试画出图P3.8(a )、(b )两个电路的输出电压波形,输入电压波形如图(c )所示。

(4)C+A’B’

(2)B’D’+C’D’

图P3.8

与输出Y与输入A1、A2、B1、B2、C1、C2、D1、D2之间的逻辑关系式,并计算外接电阻

R L取值的允许范围。

图P3.10

3.12在图P3.12所示的电路中,试计算当输入端分别接0V、5V和悬空时输出电压v O的数值,并指出三极管工作在什么状态。假定三极管导通以后v BE≈0.7V,电路参数如图中所注。三极管的饱和导通压降V CE(sat)≈0.1V,饱和导通内阻R CE(sat)=20Ω。

图P3.12

3.14指出图P3.14中各门电路的输出是什么状态(高电平、低电平或高阻态)。已知这些门电路都是74系列TTL电路。

图P3.14

3.15说明图P3.15中各门电路的输出是高电平还是低电平。已知它们都是74HC系列CMOS 电路。

图P3.15

3.16在图P3.16所示的由74系列TTL与非门组成的电路中,计算门G M能驱动多少同样的与非门。要求G M输出的高、低电平满足V OH≥3.2V,V OL≤0.4V。与非门的输入电流为I IL ≤-1.6mA,I IH≤40μA。V OL≤0.4V时输出电流最大值为I OL(max)=16mA,V OH≥3.2V时输出电流最大值为I OH(max)=-0.4mA。G M的输出电阻可忽略不计。

图P3.16

3.17在图P3.17所示由74系列TTL或非门组成的电路中,试求门G M能驱动多少同样的或非门。要求G M输出的高、低电平满足V OH≥3.2V、V OL≤0.4V。或非门每个输入端的输入电流为I IL≤-1.6mA,I IH≤40μA。V OL≤0.4V时输出电流最大值为I OL(max)=16mA,V OH≥3.2V 时输出电流最大值为I OH(max)=-0.4mA。G M的输出电阻可忽略不计。

图P3.17

3.18试说明在下列情况下,用万用表测量图P3.18中的v I2端得到的电压各为多少:

(1)v I1悬空;

(2)v I1接低电平(0.2V);

(3)v I1接高电平(3.2V);

(4)v I1经51Ω电阻接地;

(5)v I1经10kΩ电阻接地。

图中的与非门为74系列的TTL电路,万用表使用5V量程,内阻为20kΩ/V。

图P3.18

3.19若将上题中的与非门改为74系列TTL或非门,试问在上述五种情况下测得的v I2各为多少?

3.20若将图P3.18中的门电路改为CMOS与非门,试说明当v I1为题[3.18]给出的五种状态时测得的v I2各等于多少?

3.21在图P3.21所示电路中R 1、R 2和C 构成输入滤波电路。当开关S 闭合时,要求门电路的输入电压V IL ≤0.4V ;当开关S 断开时,要求门电路的输入电压V IH ≥4V ,试求R 1和R 2的最大允许阻值。G 1~G 5为74LS 系列TTL 反相器,它们的高电平输入电流I IH ≤20μA ,低电平输入电流mA I IL

4.0≤。

图P3.21

3.23计算图P3.23电路中上拉电阻R L 的阻值范围。其中G 1、G 2、G 3是74LS 系列OC 门,输出管截止时的漏电流为I OH ≤100μA ,输出低电平V OL ≤0.4V 时允许的最大负载电流I OL (max )=8mA 。G 4、G 5、G 6为74LS 系列与非门,它们的输入电流为mA I IL

4.0≤,I IH ≤20μA 。给定V CC =5V ,要求OC 门的输出高、低电平满足V OH ≥3.2V 、V OL ≤0.4V 。

图P3.23

图P3.23

3.24在图P3.24电路中,已知G1和G2、G3为74LS系列OC输出结构的与非门,输出管截止时的漏电流最大值为I OH(max)=100μA,低电平输出电流最大值为I OL(max)=8mA,这时输出的低电平为V OL(max)=0.4V。G3~G5是74LS系列的或非门,它们高电平输入电流最大值为I IH(max)=20μA,低电平输入电流最大值为I IL(max)=-0.4mA。给定V CC=5V,要求满足V OH ≥34V、V OL≤0.4V,试求R L取值的允许范围。

图P3.24

3.25图P3.25所示是一个继电器线圈驱动电路。要求在v I=V IH时三极管T截止,而v I=0时三极管T饱和导通。已知OC门输出管截止时的漏电流I OH≤100μA,导通时允许流过的最大电流I OL(max)=10mA,管压降小于0.1V,导通内阻小于20Ω。三极管β=50,饱和导通压降V CE(sat)=0.1V,饱和导通内阻R CE(sat)=20Ω。继电器线圈内阻240Ω,电源电压V CC=12V,V EE=-8V,R2=3.2kΩ,R3=18kΩ,试求R1的阻值范围。

图P3.25

3.26在图P3.26(a)所示电路中已知三极管导通时V BE=0.7V,饱和压降V CE(sat)=0.3V,饱和导通内阻为R CE(sat)=20Ω,三极管的电流放大系数β=100。OC门G1输出管截止时的漏电流约为50μA,导通时允许的最大负载电流为16mA,输出低电平≤0.3V。G2~G5均为74系列TTL电路,其中G2为反相器,G3和G4是与非门,G5是或非门,它们的输入特性如图P3.26(b)所示。试问:

(1)在三极管集电极输出的高、低电平满足V OH≥3.5V、V OL≤0.3V的条件下,R a的取值范围有多大?

(2)若将OC门改成推拉式输出的TTL门电路,会发生什么问题?

图P3.26

第4章

4.2图P4.2是一个多功能函数发生电路,试写出当S0S1S2S3为0000~1111 16种不同状态时

输出Y的函数关系式。

图P4.2

4.6有一水箱由大、小两台水泵M L和M S供水,如图P4.6所示。水箱中设置了3个水位检测元件A、B、C,水面低于检测元件时,检测元件给出高电平;水面高于检测元件时,检测元件给出低电平。现要求当水位超过C点时水泵停止工作;水位低于C点而高于B点时M S单独工作;水位低于B点而高于A点时M L单独工作;水位低于A点时M L和M S同时工作。试用门电路设计一个控制两台水泵的逻辑电路,要求电路尽量简单。

图P4.6

4.7设计一个代码转换电路,输入为4位二进制代码,输出为4位格雷码。可以采用各种逻辑功能的门电路来实现。4位格雷码见本书第1.5节的表1.

5.2。

4.12试画出用3线–8线译码器74HC138(见图4.3.8)和门电路产生如下多输出逻辑函数的逻辑图。

?????'+''=+''+''==C AB C B Y BC C B A C B A Y AC Y 3

21

4.14用3线–8线译码器74HC138和门电路设计1位二进制全减器电路。输入为被减数、减数和来自低位的借位;输出为两数之差和向高位的借位信号。

4.23用8选1数据选择器74HC151(见图4.3.24)设计一个组合逻辑电路。该电路有3个输入逻辑变量A、B、C和1个工作状态控制变量M。当M=0时电路实现“意见一致”功能(A、B、C状态一致时输出为1,否则输出为0),而M=1时电路实现“多数表决”功能,即输出与A、B、C中多数的状态一致。

数字电子技术总复习1

【教学内容】 第一章逻辑代数基础总结主要内容 ?数制和码制 ?逻辑代数的公式和定理 ?逻辑函数的表示方法 ?逻辑函数的化简方法 具体内容 ?二进制数、十进制数、十六进制数及其互相转换 ?各种BCD码(8421码、余3码、2421码、5211码、余3循环码)思考题: 1.以下代码中为无权码的为CD 。 A. 8421BCD码 B. 5421BCD码 C.余三码 D.格雷码 2 一位十六进制数可以用 C 位二进制数来表示。 A.1 B.2 C.4 D. 16 B.0 3 十进制数25用8421BCD码表示为 B 。 A.10 101 B.0010 0101 C.100101 D.10101 4 与八进制数(47.3)8等值的数为:AB (100111.011)2 B.(27.6)16 C.(27.3 )16 D. (100111.11)2 5. 常用的BCD码有 C D 。 A.奇偶校验码 B.格雷码 C.8421码 D.余三码 答案:1.C D 2.C 3.B 4.AB 5.CD 思考题. (10110010.1011)2=( )8=( )16 答案:262.54 B2.B 思考题. ( 0111 1000)8421BCD =()2=( )8=( )10=( )16 答案:1001110 116 78 4E ?各种逻辑运算(与、或、非、与非、或非、与或非、异或、同或)?逻辑代数的常用公式和定理 思考题 1. 以下表达式中符合逻辑运算法则的是 D 。 A.C·C=C2 B.1+1=10 C.0<1 D.A+1=1 2. 当逻辑函数有n个变量时,共有 D 个变量取值组合? A. n B. 2n C. n2 D. 2n 3. 逻辑函数的表示方法中具有唯一性的是 AD 。 A .真值表 B.表达式 C.逻辑图 D.卡诺图 4.求一个逻辑函数F的对偶式,可将F中的 ACD 。 A .“·”换成“+”,“+”换成“·” B.原变量换成反变量,反变量换成原变量 C.变量不变 D.常数中“0”换成“1”,“1”换成“0”

数字电子技术考试题及答案

太原科技大学 数字电子技术 课程试卷 B 卷 一、单选题(20分,每小题1分)请将本题答案全部写在下表中 1、8421BCD 码10000001转化为十六进制数是( )。 A 、15 B 、51 C 、81 D 、18 2、n 位二进制数的反码或其原码,表示的十进制数是( )。 A 、21n - B 、2n C 、1 2n - D 、2n 3、TTL 与非门多余输入端的处理是( )。 A 、接低电平 B 、任意 C 、 通过 100W 电阻接地 D 、通过 100k W 电阻接地 4、OD 非门在输入为低电平(输出端悬空)情况下,输出为( )状态。 A 、高电平 B 、低电平 C 、开路 D 、不确定 5、与()Y A B A =e e 相等的逻辑函数为( )。 A 、Y B = B 、Y A = C 、Y A B =? D 、Y A B =e 6、下列(,,)F A B C 函数的真值表中1Y =最少的为( )。 A 、Y C = B 、Y AB C = C 、Y AB C =+ D 、Y BC C =+ 7、( )是组合逻辑电路的特点。 A 、输出仅取决于该时刻的输入 B 、后级门的输出连接前级门的输入 C 、具有存储功能 D 、由触发器构成 8、半加器的两个加数为A 和B ,( )是进位输出的表达式。 A 、AB B 、A B + C 、AB D 、AB 9、欲使JK 触发器1 n Q Q +=,J 和K 取值正确的是( )。 A 、,J Q K Q == B 、J K Q == C 、0J K == D 、,1J Q K == 10、字数为128的ROM 存储器存储容量为1204位,字长为( )位,地址线为( )根。 A 、8,8 B 、8,7 C 、4,7 D 、4,8 11、一个四位二进制减法计数器初始状态为0110,经过101个脉冲有效沿触发后,它的输出是 ( )。 A 、0000 B 、0001 C 、0011 D 、0010 12、要用1K×8的RAM 扩展成8K×16的RAM ,需选用( )译码器。 A 、 3线-8线 B 、2线-4线 C 、1线-2线 D 、4线-16线

数字电子技术练习题及答案

数 字电子技术练习题及答案 一、填空题 1、(238)10=( 11101110 )2 =( EE )16。(110110.01)2=( 36.4 )16=( 54.25 )10。 2、德?摩根定理表示为 B A +=( B A ? ) , B A ?=( B A + )。 3、数字信号只有( 两 )种取值,分别表示为( 0 )和( 1 )。 4、异或门电路的表达式是( B A B A B A +=⊕ );同或门的表达式是( B A AB B A ?+=⊙ ) 。 5、组成逻辑函数的基本单元是( 最小项 )。 6、与最小项C AB 相邻的最小项有( C B A )、( C B A ? ) 和 ( ABC ) 。 7、基本逻辑门有( 与门 )、( 或门 )和( 非门 )三种。复合门有( 与非门 )、( 或非门 )、( 与或非门 )和( 异或门 )等。 8、 9、 10、最简与或式的定义是乘积项的( 个数最少 ),每个乘积项中相乘的( 变量个数也最少)的与或表达式。 11、在正逻辑的约定下,“1”表示( 高电平 ),“0”表示( 低电平 )。在负逻辑的约定下,“1”表示( 低电平 ),“0”表示( 高电平 )。 12、一般TTL 门电路输出端( 不能 )直接相连,实现线与。(填写“能”或“不能”) 13、三态门的三种可能的输出状态是( 高电平 )、( 低电平 )和( 高阻态 )。 14、实现基本和常用逻辑运算的(电子电路),称为逻辑门电路,简称门电路。 15、在TTL 三态门、OC 门、与非门、异或门和或非门电路中,能实现“线与”逻辑功能的门为(OC 门),能实现总线连接方式的的门为(三态门)。 16、T TL 与非门的多余输入端不能接( 低 )电平。 17、 18、真值表是将输入逻辑变量的( 所有可能取值 )与相应的( 输出变量函数值 )排列在一起而组成的表格。 19、组合逻辑电路是指任何时刻电路的稳定输出,仅仅只决定于(该时刻各个输入变量的取值)。 20、用文字、符号或者数码表示特定对象的过程叫做( 编码 )。把代码的特定含义翻译出来的过程叫( 译码 )。 在几个信号同时输入时,只对优先级别最高的进行编码叫做( 优先编码 )。 21、两个1位二进制数相加,叫做(半加器)。两个同位的加数和来自低位的进位三者相加,叫做(全加器)。 22、比较两个多位二进制数大小是否相等的逻辑电路,称为(数值比较器)。 23、半导体数码显示器的内部接法有两种形式:共(阳)极接法和共(阴)极接法。对于共阳接法的发光二极管数码显示器,应采用(低)电平驱动的七段显示译码器。 24、能够将( 1个 )输入数据,根据需要传送到( m 个 )输出端的任意一个输出端的电路,叫做数据分配器。 25、在多路传输过程中,能够根据需要将( 其中任意一路挑选出来 )的电路,叫做数据选择器,也称为多路选择器或多路开关。 26、触发器又称为双稳态电路,因为它具有( 两个 )稳定的状态。 27、根据逻辑功能不同,触发器可分为( RS 触发器 )、( D 触发器 )、( JK 触发器 )、( T 触发器 )和( T ’触发器 )等。根据逻辑结构不同,触发器可分为( 基本触发器 )、( 同步触发器 )和( 边沿触发器 )等。 28、JK 触发器在JK =00时,具有( 保持 )功能,JK =11时;具有( 翻转 )功能;JK =01时,具有( 置0 )功能;JK =10时,具有( 置1 )功能。 29、JK 触发器具有( 保持 )、( 置0 )、( 置1 )和( 翻转 )的逻辑功能。D 触发器具有( 置0 )和( 置1 )的逻辑功能。RS 触发器具有( 保持 )、( 置0 )和( 置1 )的逻辑功能。 T 触发器具有( 保持 )和( 翻转 )的逻辑功能。T ’触发器具有( 翻转 )的逻辑功能。 30、边沿触发器具有共同的动作特点,即触发器的次态仅取决于CP 信号( 上升沿或下降沿 )到来时刻输入的逻辑状态,而在这时刻之前或之后,输入信号的变化对触发器输出的状态没有影响。 31、基本RS 触发器的特性方程是( n n Q R S Q +=+1 );其约束条件是( 0=RS )。JK 触发器的特性方程是

华工2019上“数字电子技术”作业(五大题共16小题)

一、逻辑代数基础(逻辑函数化简、变换)(1小题) 1、函数Y(A,B,C,D)m(0,2,7,13,15)d(1,3,4,5,6,8,10),要求:(1)利用卡诺图 将Y化为最简的“与或”表达式;(2)将该最简的“与或”表达式变换为与非-与非式;(3)将该最简的“与或”表达式变换为或非-或非式。 二、器件(门电路、触发器、存储器、ADC/DAC)(8小题) 1、写出如图2-1所示电路中门电路的类型,并写出输出端Y i、丫 2、Y的表达式。 Y2=C&D Y=Y1&Y2 2、写出如图2-2所示电路中门电路的类型,并分别写出下图中当控制信号EN=0和EN=1时输出端丫1、丫2、Y的表达式或逻辑状态。 答: EN=0, Y1= ( A&B)' Y2=高阻抗,Y= (A&B )' EN=1,Y1 =高阻抗,Y2=( C&D)' ,Y= (C&D)' 3、电路如图2-3所示,写出触发器输入端D的表达式、是CLK的上升沿或下降沿触发、触发时次态Q*的表达式,并说明该电路对于输入信号A来讲相当于哪种逻辑功能的触发器。

4、电路如图2-4所示,写出触发器输入端 J 、K 的表达式、是CLK 的上升沿或下降沿触发、 触发时输出次态 Q*的表达式,并说明该电路对于输入信号 A 来讲相当于哪种逻辑功能的触 发器。 5、存储器2114的逻辑符号如图 2-5所示,问:(1)是RAM 还是ROM ? ( 2)2114的地 址 线、数据线分别为几根?( 3)2114的容量是多少? 2114 答:(1)存储器2114是RAM ; (2) 2114地址线10根,数据线4根; (3) 2114 的容量是 1K*4bit 。 6、四片RAM2114( 1K 4)组成的RAM 容量扩展电路如图 2-6所示。问:(1)该扩展电路 的存储容量为多少? ( 2)该电路采用何种容量扩展方式?并简要说明原因。 1J >C1 1K Q c o ---- Q' CLK 图2-4 A 9 A 。 D 3 R/ W D o CS Q Q' 图2-3 号 / 图2-5

数字电子技术基础1答案

数字电子技术基础 模拟卷1 一、单项选择题 1、将十进制数56转换成8421BCD 码应是: (D ) A 、(56)10= (0011 1000)8421BCD B 、(56)10= (0011 1001)8421BCD C 、(56)10= (0101 1000)8421BCD D 、(56)10= (0101 0110)8421BCD 2、使晶体三极管工作于饱和区的电压条件是: (C ) A 、发射结正偏,集电结反偏 B 、发射结反偏,集电结反偏 C 、发射结正偏,集电结正偏 D 、发射结反偏,集电结正偏 3、只有当两个输入变量的取值相同时,输出才为1,否则输出为0,这种逻辑关系叫做: (A ) A 、同或 B 、与非 C 、异或 D 、或非 4、在功能表中×的含义是: ( D ) A 、表示高电平 B 、表示低电平 C 、高低电平都不可以 D 、高低电平都可以 5、下列4个电路中能实现AB L 逻辑关系的是: ( C ) A A ≥1 & B B B A L 1 L =1 B A =1 A B C D 6、TTL 门电路理论上的逻辑低电平为: ( B ) A 、0V B 、0.3V C 、1.4V D 、1.8V 7、下列电路中不属于时序逻辑电路的是: (B ) A 、移位寄存器 B 、译码器 C 、随机存取存储器 D 、计数器 8、下列电路中无需外加触发信号就能自动产生方波信号的电路是: ( A ) A 、多谐振荡器 B 、单稳态触发器 C 、施密特触发器 D 、RS 触发器 9、下面对时序逻辑电路的描述不正确的是: (A ) A 、时序电路中任一时刻的输出信号仅取决于该时刻的输入信号。 B 、时序电路包含组合电路和存储电路两部分。 C 、时序电路中的存储电路是要记忆以前的状态,存储电路可由触发器组成。 D 、时序电路一般分为两大类:同步时序电路和异步时序电路

数字电子技术期末复习题库及答案完整版

数字电子技术期末复习 题库及答案 HEN system office room 【HEN16H-HENS2AHENS8Q8-HENH1688】

第1单元能力训练检测题 一、填空题 1、由二值变量所构成的因果关系称为逻辑关系。能够反映和处理逻辑 关系的数学工具称为逻辑代数。 2、在正逻辑的约定下,“1”表示高电平,“0”表示低电平。 3、数字电路中,输入信号和输出信号之间的关系是逻辑关系,所以数字电路也称为逻辑电路。在逻辑关系中,最基本的关系是与逻辑、或逻辑和 非逻辑。 4、用来表示各种计数制数码个数的数称为基数,同一数码在不同数位所代表的 权不同。十进制计数各位的基数是10,位权是10的幂。 5、8421 BCD码和2421码是有权码;余3码和格雷码是无权码。 6、进位计数制是表示数值大小的各种方法的统称。一般都是按照进位方式来实现计数的,简称为数制。任意进制数转换为十进制数时,均采用按位权展开求和的方法。 7、十进制整数转换成二进制时采用除2取余法;十进制小数转换成二进制时采用 乘2取整法。 8、十进制数转换为八进制和十六进制时,应先转换成二进制,然后再根据转换 的二进数,按照三个数码一组转换成八进制;按四个数码一组转换成十六进制。 9、逻辑代数的基本定律有交换律、结合律、分配律、反演律和 非非律。 10、最简与或表达式是指在表达式中与项中的变量最少,且或项也最少。 13、卡诺图是将代表最小项的小方格按相邻原则排列而构成的方块图。卡诺图的画图规则:任意两个几何位置相邻的最小项之间,只允许一位变量的取值不同。 14、在化简的过程中,约束项可以根据需要看作1或0。 二、判断正误题 1、奇偶校验码是最基本的检错码,用来使用PCM方法传送讯号时避免出错。(对) 2、异或函数与同或函数在逻辑上互为反函数。 (对) 3、8421BCD码、2421BCD码和余3码都属于有权码。 (错) 4、二进制计数中各位的基是2,不同数位的权是2的幂。 (对)

华工网络数字电子技术作业

第1章作业 1.1为了将600份文件顺序编码,如果采用二进制代码,最少需要用几位?如果改用八进制或十六进制代码,则最少各需要用几位? 答:如用二进制最少需10位,用八进制最少需4位,用十六进制最少需3位 1.4将下列二进制数转换为等值的十进制数。 (1)(101.011)2 ;(3)(1111.1111)2。 解(1)(101.011)2 =5.375 (3)(1111.1111)2=15.9375 1.5将下列二进制数转换为等值的八进制数和十六进制数。 (2)(1001.1101)2;(4)(101100.110011)2。 解:(2)(1001.1101)2=(11.64)8=(9.D)16(4)(101100.110011)2=(54.63)8=(https://www.wendangku.net/doc/eb8027481.html,)16 1.6将下列十六进制数转换为等值的二进制数。 (1)(8.C)16;(3)(8F.FF)16。 解:(8.C)16=(1000.1100)2 (8F.FF)16=(10001111.11111111)2 1.9将下列十进制数转换为等值的二进制数和十六进制数。要求二进制数保留小数点以后4位有效数字。 (2)(188.875)10;(4)(174.06)10。 解(2):

1.14用二进制补码运算计算下列各式。式中的4位二进制数是不带符号位的绝对值。如果和为负数,请求出负数的绝对值。(提示:所用补码的有效位数应足够表示代数和的最大绝对值。) (2)1101+1011;(4)1101-1011;(6)1011-1101;(8)-1101-1011。 解:

第2章作业 2.4已知逻辑函数的真值表如表P2.4(a)、(b)所示,试写出对应的逻辑函数式。 表P2.4(a)表P2.4(b)

数字电子技术基础(1)

东 北 大 学 继 续 教 育 学 院 数字电子技术基础 I 试 卷(作业考核 线下) B 卷(共 4 页) 注:请您单面打印,使用黑色或蓝色笔,手写完成作业。杜绝打印,抄袭作业。 一、(12分)化简逻辑函数 1、(4分)用公式法化简逻辑函数AB ABC C AB F ?+=,并用最少量与非门实现该逻辑函数。 答案: 2、(8分)某电路的输入A 、B 、C 和输出F 的波形如图所示,请列出真值表、化简该逻辑 函数,并用最少量二输入与非门实现该电路。 二、(10分), 对CMOS 电路,图中 给予标注,未加标注的为TTL 电路。 答案:A F =1 12=F A F =3 AB F =4 B A F +=5 三、(12分)用4选1数据选择器设计一个奇校验电路,输入为3位二进制代码。当输入代码中有偶数个1时或者没有1时输出为0。要求列出真值表、写出逻辑函数表达式,画出逻辑图。4选1数据选择器的逻辑符号如图所示。 答案:真值表 ABC AB ABC ABC AB AB ABC AB C AB AB AB AB ABC C AB AB ABC C AB F =?+?=?++=?+?+=?+=)(

逻辑函数表达式为ABC C B A C B A C B A F +++= 四、(10分)8线-3线优先编码器 答案:74148和与非门构成了十线-五、(10A 、B 为输入端。要求写出S 1、S 0答案:由图可知: B A S S B A S S AB S B A S S AB S S A S S F 010*********+++++?= 由此知 六、(8分)试用图(a)路。要求将图(a)中的触发器和门电路连接成一个完整的电路。 Q Q CP F 图(a) CP Q F 图(b) 答案:由波形图看出触发器的状态在每个CP 下降沿翻转,将JK 触发器的输入端同时接1即可。CP Q CP Q F ⊕=⊕= 七、(6分)一个D 触发器转换成T 触发器的电路如图2所示,试确定图中虚框内逻辑门的 类型,简单说明理由。 图2 答案:逻辑门的类型是同或门。原因是n n n Q T Q T D Q ⊕=⊕==+1。 八、(6 分)画出图 (a)电路中触发器输出端的波形。输入信号A 、B 的波形如图 (b)所示。触 发器的初始状态Q=0。 CP 图(a ) 图(b ) A C F

数字电子技术试题及答案题库

《 数字电子技术》试卷 姓名:__ _______ 班级:__________ 考号:___________ 成绩:____________ 本试卷共 6 页,满分100 分;考试时间:90 分钟;考试方式:闭卷 1. 有一数码10010011,作为自然二进制数时,它相当于十进制数( ),作为8421BCD 码时,它相当于 十进制数( )。 2.三态门电路的输出有高电平、低电平和( )3种状态。 3.TTL 与非门多余的输入端应接( )。 4.TTL 集成JK 触发器正常工作时,其d R 和d S 端应接( )电平。 5. 已知某函数??? ??+??? ??++=D C AB D C A B F ,该函数的反函数F =( ) 。 6. 如果对键盘上108个符号进行二进制编码,则至少要( )位二进制数码。 7. 典型的TTL 与非门电路使用的电路为电源电压为( )V ,其输出高电平为( )V ,输出低电平为( )V , CMOS 电路的电源电压为( ) V 。 8.74LS138是3线—8线译码器,译码为输出低电平有效,若输入为A 2A 1A 0=110时,输出 01234567Y Y Y Y Y Y Y Y 应为( )。 9.将一个包含有32768个基本存储单元的存储电路设计16位为一个字节的ROM 。该ROM 有( )根地址线,有( )根数据读出线。 10. 两片中规模集成电路10进制计数器串联后,最大计数容量为( )位。 11. );Y 3 =( )。

12. 某计数器的输出波形如图1所示,该计数器是( )进制计数器。 13.驱动共阳极七段数码管的译码器的输出电平为( )有效。 二、单项选择题(本大题共15小题,每小题2分,共30分) (在每小题列出的四个备选项中只有一个是最符合题目要求的,请将其代码填写在题后的括号内。错选、多选或未选均无分。) 1. 函数F(A,B,C)=AB+BC+AC 的最小项表达式为( ) 。 A .F(A,B,C)=∑m (0,2,4) B. (A,B,C)=∑m (3,5,6,7) C .F(A,B,C)=∑m (0,2,3,4) D. F(A,B,C)=∑m (2,4,6,7) 2.8线—3线优先编码器的输入为I 0—I 7 ,当优先级别最高的I 7有效时,其输出012Y Y Y ??的值是( )。 A .111 B. 010 C. 000 D. 101 3.十六路数据选择器的地址输入(选择控制)端有( )个。 A .16 B.2 C.4 D.8 4. 有一个左移移位寄存器,当预先置入1011后,其串行输入固定接0,在4个移位脉冲CP 作用下,四位数据的移位过程是( )。 A. 1011--0110--1100--1000--0000 B. 1011--0101--0010--0001--0000 C. 1011--1100--1101--1110--1111 D. 1011--1010--1001--1000--0111 5.已知74LS138译码器的输入三个使能端(E 1=1, E 2A = E 2B =0)时,地址码A 2A 1A 0=011,则输出 Y 7 ~Y 0是( ) 。 A. 11111101 B. 10111111 C. 11110111 D. 11111111 6. 一只四输入端或非门,使其输出为1的输入变量取值组合有( )种。 A .15 B .8 C .7 D .1

西交《数字电子技术》在线作业

西交《数字电子技术》在线 作业 -标准化文件发布号:(9456-EUATWK-MWUB-WUNN-INNUL-DDQTY-KII

西交《数字电子技术》在线作业 试卷总分:100 测试时间:-- ?单选题 一、单选题(共 50 道试题,共 100 分。) V 1. 处理______的电子电路是数字电路。 A. 交流电压信号 B. 直流信号 C. 模拟信号 D. 数字信号 满分:2 分 2. 用卡诺图化简具有无关项的逻辑函数时,若用圈1法,在包围圈内的′是按处理;在包围圈外的′是按______处理。 A. 1,1 B. 1,0 C. 0,0 D. 不确定。 满分:2 分 3. A. 变为0 B. 保持1不变 C. 保持0不变 D. 无法确定 满分:2 分 4. 10- 4线优先编码器允许同时输入__________路编码信号。 A. 1 B. 9 C. 10 D. 多 满分:2 分 5. 标准TTL门关门电平U off之值为_________。 A. 0.3V B. 0.5V C. 0.8V D. 1.2V 满分:2 分 6. 数字电路中,晶体管的工作于_______状态。

A. 放大 B. 饱和 C. 截止 D. 开关 满分:2 分 7. TTL与非门输出低电平的参数规范值是_______。 A. U OL≤0.3V B. U OL≥0.3V C. U OL≤0.4V D. U OL= 0.8V 满分:2 分 8. 三极管开关电路中,影响开关速度的主要因素是 _______。 A. t d B. t r C. t s D. t f 满分:2 分 9. TTL与非门输出高电平的参数规范值是_______。 A. U OH≥1.4V B. U OH≥2.4V C. U OH≥3.3V D. U OH= 3.6V 满分:2 分 10. 利用2个74LS138和1个非门,可以扩展得到1个______线译码器。 A. 2-4 B. 3-8 C. 4-16 D. 无法确定。 满分:2 分 11. 当________时,增强型NMOS管相当于开关接通。 A. B. C. D. 满分:2 分

完整版数字电子技术基础1

、单项选择题 1、将十进制数56转换成8421BCD 码应是: 2、使晶体三极管工作于饱和区的电压条件是: 系叫做: 6、TTL 门电路理论上的逻辑低电平为: 7、下列电路中不属于时序逻辑电路的是: &下列电路中无需外加触发信号就能自动产生方波信号的电路是: A 、多谐振荡器 B 、单稳态触发器 C 、施密特触发器 9、下面对时序逻辑电路的描述不正确的是: A 、时序电路中任一时刻的输出信号仅取决于该时刻的输入信号。 数字电子技术基础 模拟卷1 A 、(56) 10= ( 0011 1000 8421BCD B 、 (56) 10= ( 0011 1001) 8421BCD C 、(56) 10= ( 0101 1000) 8421BCD D 、 (56) 10= ( 0101 0110) 8421BCD A 、发射结正偏,集电结反偏 B 、发射结反偏,集电结反偏 C 、发射结正偏,集电结正偏 D 、发射结反偏,集电结正偏 3、只有当两个输入变量的取值相同时, 输出才为 1,否则输出为0,这种逻辑关 A 、同或 B 、与非 C 、异或 D 、或非 4、 在功能表中刈勺含义是: A 、表示高电平 B 、表示低电平 C 、咼低电平都不可以 D 、咼低电平都可以 5、 下列4个电路中能实现L AB 逻辑关系的是: >1 =1 >1 =1 D- A 、0V B 、0.3V C 、1.4V D 、1.8V A 、移位寄存器 B 、译码器 C 、随机存取存储器 计数器 RS 触发器

B 、时序电路包含组合电路和存储电路两部分。 C 、时序电路中的存储电路是要记忆以前的状态, 存储电路可由触发器组成。 D 、时序电路一般分为两大类:同步时序电路和异步时序电路 10、已知静态RAM2114的存储容量为1K X 4位,若要扩展存储容量为 需要几片2114 D 、16 片 12、5G7520为10位集成数模转换器,设参考电压 V REF =10V ,R F =R , 、多项选择题(在每小题的四个备选答案中,选出至少两个正确答案,并将其 2、描述触发器逻辑功能的方法有: 3、比较下列几个数的大小,正确的结果是: D 、(2A ) 16 >( 101101) 2 4、在下式中选出正确的逻辑代数公式: 4KX8 位, 11、已知逻辑函数 L A B D ,则其反函数F 为: A 、A BCD B 、A BCD C 、A BCD D 、 A BCD 当输入全 1时,输出电压的绝对值为: 10V C 10V ——255 B 、—— 1 256 1024 B 、 C 、 10V ——1023 1024 D 、 10V —— 1 256 号码分别填在题干的括号内。多选、少选、 错选均无分。) 1、逻辑函数L (AB AB)C 中,变量A 、 B 、C 取哪些值时, L 的值为1。 A 、ABC 取 011 B 、AB C 取 101 C 、ABC 取 000 ABC 取 111 A 、功能表 B 、特征方程 C 、状态转换图 D 、驱动表 A 、(46) 8>( 39) 10 B 、(2A ) 16>( 39) 10 C 、(101101) 2>( 39) 10

数字电子技术试题库

数 字 电 子 技 术 2011年7月23日星期六

1 1 : 对于JK触发器,输入J=0,K=1,CLK脉冲作用后,触发器的次态应为()。 (2分) A:0 B:1 C:Q' D:不确定 您选择的答案: 正确答案: A 知识点:JK触发器的特性为:J=1, K=1时,Q状态为翻转,即Q= Q’ -------------------------------------------------------------------- 2 : 已知Y=A+AB′+A′B,下列结果中正确的是() (2分) A:Y=A B:Y=B C:Y=A+B D:Y=A′+B′ 您选择的答案: 正确答案: C 知识点:利用公式A+AB′=A和A+A′B=A+B进行化简 -------------------------------------------------------------------- 3 : (1001111)2的等值十进制数是() (2分) A:97 B:15.14 C:83 D:79 您选择的答案: 正确答案: D 知识点:把二进制数转换为等值的十进制数,只需将二进制数按多项式展开,然后把所有各项的数值按十进制数相加。 -------------------------------------------------------------------- 4 : 图中为CMOS门电路,其输出为()状态 (2分) A:高电平 B:低电平 C:高阻态 D:不确定 您选择的答案: 正确答案: A 知识点:对于CMOS门电路,输入端接负载时,输入电平不变 -------------------------------------------------------------------- 5 : 四选一数据选择器的数据输出Y与数据输入Di和地址码Ai之间的逻辑表达式为Y=() (2分) A:A1′A0′D0+ A1′A0D1+ A1A0′D2+ A1A0D3 B:A1′A0′D0

数字电子技术练习题及答案

数字电子技术练习题及答案 一、填空题 1、(238)10=( )2 =( EE )16。(110110.01)2=( 36.4 )16=( 54.25 )10。 2、德?摩根定理表示为 B A +=( B A ? ) , B A ?=( B A + )。 3、数字信号只有( 两 )种取值,分别表示为( 0 )和( 1 )。 4、异或门电路的表达式是( B A B A B A +=⊕ );同或门的表达式是( B A AB B A ?+=⊙ ) 。 5、组成逻辑函数的基本单元是( 最小项 )。 6、与最小项C AB 相邻的最小项有( C B A )、( C B A ? ) 和 ( ABC ) 。 7、基本逻辑门有( 与门 )、( 或门 )和( 非门 )三种。复合门有( 与非门 )、( 或非门 )、( 与或非门 )和( 异或门 )等。 8、 9、 10、最简与或式的定义是乘积项的( 个数最少 ),每个乘积项中相乘的( 变量个数也最少)的与或表达式。 11、在正逻辑的约定下,“1”表示( 高电平 ),“0”表示( 低电平 )。在负逻辑的约定下,“1”表示( 低电平 ),“0”表示( 高电平 )。 12、一般TTL 门电路输出端( 不能 )直接相连,实现线与。(填写“能”或“不能”) 13、三态门的三种可能的输出状态是( 高电平 )、( 低电平 )和( 高阻态 )。 14、实现基本和常用逻辑运算的(电子电路),称为逻辑门电路,简称门电路。 15、在TTL 三态门、OC 门、与非门、异或门和或非门电路中,能实现“线与”逻辑功能的门为(OC 门),能实现总线连接方式的的门为(三态门)。 16、T TL 与非门的多余输入端不能接( 低 )电平。 17、 18、真值表是将输入逻辑变量的( 所有可能取值 )与相应的( 输出变量函数值 )排列在一起而组成的表格。 19、组合逻辑电路是指任何时刻电路的稳定输出,仅仅只决定于(该时刻各个输入变量的取值)。 20、用文字、符号或者数码表示特定对象的过程叫做( 编码 )。把代码的特定含义翻译出来的过程叫( 译码 )。 在几个信号同时输入时,只对优先级别最高的进行编码叫做( 优先编码 )。 21、两个1位二进制数相加,叫做(半加器)。两个同位的加数和来自低位的进位三者相加,叫做(全加器)。 22、比较两个多位二进制数大小是否相等的逻辑电路,称为(数值比较器)。 23、半导体数码显示器的内部接法有两种形式:共(阳)极接法和共(阴)极接法。对于共阳接法的发光二极管数码显示器,应采用(低)电平驱动的七段显示译码器。 24、能够将( 1个 )输入数据,根据需要传送到( m 个 )输出端的任意一个输出端的电路,叫做数据分配器。 25、在多路传输过程中,能够根据需要将( 其中任意一路挑选出来 )的电路,叫做数据选择器,也称为多路选择器或多路开关。 26、触发器又称为双稳态电路,因为它具有( 两个 )稳定的状态。 27、根据逻辑功能不同,触发器可分为( RS 触发器 )、( D 触发器 )、( JK 触发器 )、( T 触发器 )和( T ’触发器 )等。根据逻辑结构不同,触发器可分为( 基本触发器 )、( 同步触发器 )和( 边沿触发器 )等。 28、JK 触发器在JK =00时,具有( 保持 )功能,JK =11时;具有( 翻转 )功能;JK =01时,具有( 置0 )功能;JK =10时,具有( 置1 )功能。 29、JK 触发器具有( 保持 )、( 置0 )、( 置1 )和( 翻转 )的逻辑功能。D 触发器具有( 置0 )和( 置1 )的逻辑功能。RS 触发器具有( 保持 )、( 置0 )和( 置1 )的逻辑功能。 T 触发器具有( 保持 )和( 翻转 )的逻辑功能。T ’触发器具有( 翻转 )的逻辑功能。 30、边沿触发器具有共同的动作特点,即触发器的次态仅取决于CP 信号( 上升沿或下降沿 )到来时刻输入的逻辑状态,而在这时刻之前或之后,输入信号的变化对触发器输出的状态没有影响。 31、基本RS 触发器的特性方程是( n n Q R S Q +=+1 );其约束条件是( 0=RS )。JK 触发器的特性方程是( n n n Q K Q J Q +=+1 );D 触发器的特性方程是( D Q n =+1 );T 触发器的特性方程是( n n n Q T Q T Q +=+1 ); T ’触发器的特性方程是( n n Q Q =+1 )。

数字电子技术作业(第5周)

数字电子技术作业(第5周) 一、请选择正确答案,将其代号填入题末( )内; 1、仅用译码器(例如3线-8线译码器,4线-16线译码器)无法完成的逻辑功能为: A .算术运算; B .码组变换; C .数据分配; D .产生逻辑函数。 ( ) 2、欲组建6线-64线译码器,则需用图示3线-8线译码器: A .2片; B .6片; C .9片; D .12片。 ( ) 3、一个N 选1 MUX 的输入地址码的位数是: A .N ; B .2N ; C .log2N ; D .ln2N 。 ( ) 4、仅用数据选择器(例如8选1 MUX 、4选1 MUX )无法实现的逻辑功能是: A .数据延迟; B .数据并/串变换; C .数据选择; D .产生逻辑函数。 ( ) 5、若用4选1原码输出MUX ,实现函数F = P + Q 时,其中P 为地址高位,Q 为低位, 则输入数据D 0D 1D 2D 3应为: A .1101; B .1001; C .0110; D .1011。 ( ) 二、解答题 6、试用图示3线-8线译码器74LS138和门电路产生如下多输出逻辑函数,并画出必要的连线图。 Y AC Y ABC ABC BC Y BC ABC 123,,. ==++=+????? &EN 1 2 4 BIN/OCT οο01234567οοοο ο ο ο ο 7、试用4选1 MUX 和门电路实现函数 F (A ,B ,C ,D ) = ∑m (0,2,3,5,6,7,8,9) + ∑d (10,11,12,13,14,15)。 8、试仅用三片4 选1的数据选择器实现4变量逻辑函数。 F (A ,B ,C ,D )=∑m (1,5,6,7,9,11,12,13,14).

数字电子技术基础1

数字电子技术基础模拟卷1 、单项选择题 1、将十进制数56转换成8421BCD 码应是: A 、(56) 10= (0011 1000 8421BCD B 、(56) 10= (0011 1001) C 、(56) 10= (0101 100C ) 8421BC D D 、(56) 10= (0101 0110) 2、使晶体三极管工作于饱和区的电压条件是: A 、发射结正偏,集电结反偏 B 、发射结反偏,集电结反偏 C 、发射结正偏,集电结正偏 D 、发射结反偏,集电结正偏 3、只有当两个输入变量的取值相同时, 输出才为 1,否则输出为0,这种逻辑关 系叫做: 4、在功能表中 >的含义是: A 、表示咼电平 5、下列4个电路中能实现L AB 逻辑关系的是: 6、TTL 门电路理论上的逻辑低电平为: 7、下列电路中不属于时序逻辑电路的是: F 列电路中无需外加触发信号就能自动产生方波信号的电路是: A 、多谐振荡器 B 、单稳态触发器 C 、施密特触发器 9、下面 对时序逻辑电路的描述不正确的是: A 、时序电路中任一时刻的输出信号仅取决于该时刻的输入信号。 8421BCD 8421BCD A 、同或 B 、与非 C 、异或 D 、或非 B 、表示低电平 C 、高低电平都不可以 D 、高低电平都可以 =1 >1 A 、0V B 、0.3V C 、1.4V D 、1.8V A 、移位寄存器 B 、译码器 C 、随机存取存储器 计数器 RS 触发器 L A A B B A B

B 、 时序电路包含组合电路和存储电路两部分。 C 、 时序电路中的存储电路是要记忆以前的状态, 存储电路可由触发器组成 D 、 时序电路一般分为两大类:同步时序电路和异步时序电路 10、已知静态RAM2114的存储容量为1K X 4位,若要扩展存储容量为4KX8位, 需要几片2114 () A 、4片 B 、2片 C 、8片 D 、16 片 11、已知逻辑函数L ABC D ,则其反函数F 为: () A 、A BCD B 、A BCD C 、A BCD D 、A BCD 12、5G7520为10位集成数模转换器,设参考电压 V REF =10V , R F =R ,当输入全 1时,输出电压的绝对值为: () 二、多项选择题(在每小题的四个备选答案中,选出至少两个正确答案,并将其 号码分别填在题干的括号内。多选、少选、错选均无分。) 1、 逻辑函数L (AB AB)C 中,变量A 、B 、C 取哪些值时,L 的值为1。 ()()()() A 、ABC 取 011 B 、AB C 取 101 C 、ABC 取 000 D 、ABC 取 111 2、 描述触发器逻辑功能的方法有: ()()()() A 、功能表 B 、特征方程 C 、状态转换图 D 、驱动表 3、 比较下列几个数的大小,正确的结果是: ()()()() A 、(46) 8>( 39) 10 B 、(2A ) 16>( 39) 10 C 、(101101) 2>( 39) 10 D 、(2A ) 16 >( 101101) 2 4、 在下式中选出正确的逻辑代数公式: 10V 256 255 B 、 10V 1 1024 C 、 10V 1023 1024 D 、 10V 256

数字电子技术试题和答案(题库)

数字电子技术基础试题(一)一、填空题 : (每空1分,共10分) 1. (30.25) 10 = ( ) 2 = ( ) 16 。 2 . 逻辑函数L = + A+ B+ C +D = 。 3 . 三态门输出的三种状态分别为:、和。 4 . 主从型JK触发器的特性方程= 。 5 . 用4个触发器可以存储位二进制数。 6 . 存储容量为4K×8位的RAM存储器,其地址线为条、数据线为条。 二、选择题: (选择一个正确的答案填入括号内,每题3分,共30分 ) 1.设图1中所有触发器的初始状态皆为0,找出图中触发器在时钟信号作用下,输出电压波形恒为0的是:()图。 图 1 2.下列几种TTL电路中,输出端可实现线和功能的电路是()。 A、或非门 B、和非门 C、异或门 D、OC门 3.对CMOS和非门电路,其多余输入端正确的处理方法是()。

A、通过大电阻接地(>1.5KΩ) B、悬空 C、通过小电阻接地(<1KΩ) D、通过电阻接V CC 4.图2所示电路为由555定时器构成的()。 A、施密特触发器 B、多谐振荡器 C、单稳态触发器 D、T触发器 5.请判断以下哪个电路不是时序逻辑电路()。图2 A、计数器 B、寄存器 C、译码器 D、触发器 6.下列几种A/D转换器中,转换速度最快的是()。图2 A、并行A/D转换器 B、计数型A/D转换器 C、逐次渐进型A/D转换器 D、双积分A/D转换器 7.某电路的输入波形 u I 和输出波形 u O 如图 3所示,则该电路为()。 图3 A、施密特触发器 B、反相器 C、单稳态触发器 D、JK触发器 8.要将方波脉冲的周期扩展10倍,可采用()。 A、10级施密特触发器 B、10位二进制计数器 C、十进制计数器 D、10位D/A转换器

《数字电子技术基础》课后习题答案

《数字电路与逻辑设计》作业 教材:《数字电子技术基础》 (高等教育出版社,第2版,2012年第7次印刷)第一章: 自测题: 一、 1、小规模集成电路,中规模集成电路,大规模集成电路,超大规模集成电路 5、各位权系数之和,179 9、01100101,01100101,01100110; 11100101,10011010,10011011 二、 1、× 8、√ 10、× 三、 1、A 4、B 练习题: 1.3、解: (1) 十六进制转二进制: 4 5 C 0100 0101 1100 二进制转八进制:010 001 011 100 2 1 3 4 十六进制转十进制:(45C)16=4*162+5*161+12*160=(1116)10 所以:(45C)16=(10001011100)2=(2134)8=(1116)10 (2) 十六进制转二进制: 6 D E . C 8 0110 1101 1110 . 1100 1000 二进制转八进制:011 011 011 110 . 110 010 000 3 3 3 6 . 6 2 十六进制转十进制:(6DE.C8)16=6*162+13*161+14*160+13*16-1+8*16-2=(1758.78125)10 所以:(6DE.C8)16=(011011011110. 11001000)2=(3336.62)8=(1758.78125)10

(3) 十六进制转二进制:8 F E . F D 1000 1111 1110. 1111 1101二进制转八进制:100 011 111 110 . 111 111 010 4 3 7 6 . 7 7 2 十六进制转十进制: (8FE.FD)16=8*162+15*161+14*160+15*16-1+13*16-2=(2302.98828125)10 所以:(8FE.FD)16=(100011111110.11111101)2=(437 6.772)8=(2302.98828125)10 (4) 十六进制转二进制:7 9 E . F D 0111 1001 1110 . 1111 1101二进制转八进制:011 110 011 110 . 111 111 010 3 6 3 6 . 7 7 2 十六进制转十进制: (79E.FD)16=7*162+9*161+14*160+15*16-1+13*16-2=(1950. 98828125)10 所以:(8FE.FD)16=(011110011110.11111101)2=(3636.772)8=(1950.98828125)10 1.5、解: (74)10 =(0111 0100)8421BCD=(1010 0111)余3BCD (45.36)10 =(0100 0101.0011 0110)8421BCD=(0111 1000.0110 1001 )余3BCD (136.45)10 =(0001 0011 0110.0100 0101)8421BCD=(0100 0110 1001.0111 1000 )余3BCD (374.51)10 =(0011 0111 0100.0101 0001)8421BCD=(0110 1010 0111.1000 0100)余3BCD 1.8、解 (1)(+35)=(0 100011)原= (0 100011)补 (2)(+56 )=(0 111000)原= (0 111000)补 (3)(-26)=(1 11010)原= (1 11101)补 (4)(-67)=(1 1000011)原= (1 1000110)补

相关文档
相关文档 最新文档