文档库 最新最全的文档下载
当前位置:文档库 › calibre后仿真参数提取

calibre后仿真参数提取

calibre后仿真参数提取
calibre后仿真参数提取

使用Calibre xRC实现RFCMOS电路的寄生参量提取

及后仿真

郭慧民

[摘要]

Calibre xRC是Mentor Graphics公司用于寄生参量提取的工具,其强大的功能和良好的易用性使其得到业界的广泛认可。本文以采用RFCMOS工艺实现的LNA为例,介绍使用Calibre xRC对RFCMOS电路寄生参量提取,以Calibreview 形式输出以及在Virtuoso的ADE中直接后仿真的流程。本文还将讨论Calibre xRC特有的XCELL方式对包含RF器件的电路仿真结果的影响。

采用Calibre xRC提取寄生参量

采用RFCMOS工艺设计低噪声放大器(LNA),其电路图如图1所示,版图如图2所示。

图1 LNA的电路图

图2 LNA的版图

Calibre支持将其快捷方式嵌入在Virtuoso平台中。用户只需在自己.cdsinit文件中加入以下一行语句:

load( strcat( getShellEnvVar("MGC_HOME") "/lib/calibre.skl" ))

就可以在virtuoso的菜单中出现“calibre”一项,包含如下菜单:

点击Run PEX,启动Calibre xRC的GUI,如图3所示。Outputs菜单中的Extraction Type里,第一项通常选择Transistor Level或Gate Level,分别代表晶体管级提取和门级提取。第二项可以选择R+C+CC,R+C,R,C+CC,其中R 代表寄生电阻,C代表本征寄生电容,CC代表耦合电容。第三项可以选择No Inductance,L或L+M,分别代表不提取电感,只提取自感和提取自感与互感。这些设置由电路图的规模和提取的精度而定。

在Format一栏中,可以选择SPECTRE,ELDO,HSPICE等网表形式,也可以

选择Calibre xRC提供的CALIBREVIEW形式。本文中选择CALIBREVIEW形式。Use Names From可以根据需要选择SCHEMATIC或LAYOUT。

图3 PEX的GUI界面

设置完毕后,点击Run PEX,开始寄生参量提取。通常,Calibre xRC先执行LVS,之后提取寄生参量,最后将电路图中的原有的器件和提取出的寄生电容,电阻和电感反馈到一新生成的带寄生信息的电路图中。PEX完成后,弹出如下对话框:

图4 Calibre View设置界面

其中,Output Library表示输出新生成的电路图的library,通常选为提取

寄生参量前的schematic和symbol所在的library即可。Calibre View Type 代表新生成的schematic的View形式,可以取任意名字,只要不与已有的view name重复即可。比如,取做calibre_r,calibre_rc或calibre_rcc,以分别代表不同的提取形式,本文中直接取成calibre。Cellmap File是描述寄生参量提取前后器件对应关系的文件,默认的是./calview.cellmap,即Virtuoso启动目录下的calview.cellmap文件。如果是第一次提取,需要按下面步骤配置这个文件。其他选项默认即可。

点击OK,即开始配置calview.cellmap文件,首先弹出如图5左所示对话框:

图5 设置calview.cellmap文件的对话框

这个对话框用来配置在新生成的带有寄生参量的电路图中的器件所对应的library,cell和view。本文中名为nmos_rfw5与foundry的PDK中提供的rfnmos2v5w的symbol相对应。点击Auto Map Pins,将自动出现Pin Map。如果不能自动匹配,通常是由于layout提取出的器件的pin的个数和symbol中pin的个数不一致,可以通过修改rule文件使其pin的数目一致。这样新生成的带寄生参量的电路图中将以这个symbol代表这个器件。其他的器件依此类推。最后要确定提出的寄生电容和寄生电阻的符号,通常采用analogLib中的cap 和res即可,如图5右所示。

全部器件设置完成后,在所选的Output Library中将出现cellname为lna,view为calibre的cell。打开后通常无法直接看到器件,这是由于其中包含的symbol太多,每个symbol太小难以全部显示。可以执行CTRL+A,找到symbol 的大致位置,再放大查看。这个calbre的view中包含了与symbol对应的pin,原来电路图中器件的符号,和生成的寄生电容和电阻,它们构成了带有寄生信息的电路图。因此,可以直接使用这个电路图进行后仿真。

直接在ADE中进行后仿真

直接采用前仿真时的测试电路,在composer中通过Tools->Analog Environment启动ADE。在setup菜单中选择Environment,弹出如图6所示对话框。

图6 ADE中的Environment对话框

在Switch View List中的最前端填入calibre。工具生成网表时,将按照顺序首先寻找名为calibre的view,然后是spectre,依此类推。如果需要仿真不同参数提取条件下的结果,只要将相应的view名称(比如calibre_r,calibre_rc,calibre_rcc等)放置在最前端Switch View List即可。其它各项默认,点击OK。选择仿真类型,进行仿真,这一步骤与前仿真完全相同。图7给出了本例中的LNA前仿真和提取RCC之后的后仿真的瞬态结果对比。由此可见,采用calibreview的输出形式能够非常方便的在Virtuoso的ADE中进行后仿真和比较前后仿真结果。

图7 LNA前仿真和后仿真瞬态波形对比

使用XCELL避免寄生参量的重复提取

图1中,黑线框所示为RF器件。与一般的MOS器件不同,这类器件的模型是代工厂经过实际测量得到的参数,在spice model中通过子电路表示。因此,它的模型中已经包含了器件的寄生信息。而且,由于这类器件的面积通常较大,其中的寄生电容和寄生电阻值是相当可观的。比如,在本设计中,所示的每个RFMOSFET的宽和长分别为500um和0.24um,每个器件包含50个finger。如果工具对RF器件的内部也进行提取,将会对导致器件的寄生电容和电阻重复提取。为了确保提取正确,Calibre xRC提供一种称为“黑盒”提取的方法,可以将指定的器件(通常是RF器件)看作理想器件,对其内部的节点之间的寄生电容和寄生电阻不再提取。具体步骤如下:

首先,先定义xcell文件,例如;

cellL cellL

cellR cellR

cellM cellM

左边是版图单元的名称,右边是电路图单元的名称。其中所指定的器件版图和电路图必须是单独的单元。通过这种方式定义版图和原理图单元的对应关系,以及提取寄生时所需要屏蔽的版图单元。其次,在XRC rule中添加PEX IDEAL

XCELL YES语句。最后,采用gate level的方式进行寄生参量提取,确保工具将RF器件识别为一子电路。如果采用GUI的方式,在图3所示的界面中,选择gate level提取,而不是transistor level级提取。同时在input选项中的xcell 部分选择已写好的xcell文件,如图8所示。

图8 设置xcell的界面

完成以上设置后,运行PEX进行寄生参量提取,步骤与未采用XCELL时相同。XCELL对LNA仿真结果的影响

图9,图10和图11分别给出了是否采用XCELL对LNA瞬态性能,S21参数和噪声系数的影响。

图9 是否采用XCELL对LNA的瞬态性能的影响

图10 是否采用XCELL对LNA的S21的影响

图11 是否采用XCELL对LNA的NF的影响

可见,是否采用XCELL对LNA的性能有比较大的影响。主要有两个原因:首先,不加XCELL将RF器件内部的栅电容提了出来,增大了负载电容,降低的S21,进而降低了电压增益。其次,不加XCELL将RF器件内部的栅电阻提了出来,增大的噪声系数。因此,对于像LNA这种对寄生电阻和电容非常敏感的模块,在提取时必须采用XCELL,避免将RF器件内部的寄生电容和电阻提出,才能得到准确的结果。

总结

Calibre xRC是Mentor Graphics公司著名的验证工具Calibre的寄生参数提取模块,它具有丰富的输出格式,支持数字、模拟、射频及混合电路的寄生参数提取。Calibre xRC被主流foundry所支持,具有良好的精确性,特别是对于模拟和射频电路,输出能够反标回主流的仿真工具中,满足不同的设计流程。使用Calibre xRC进行寄生参量提取时,选择calibreview的输出形式,可以非常方便的在Virtuoso的ADE中直接进行后仿真,以及进行前后仿真结果的比较。对于习惯Virtuoso图形化界面进行仿真的用户,采用Calibre view的输出可以让版图,后仿真非常平滑的连接在一起,让设计流程更顺畅。Calibre xRC还提供XCELL的特殊提取形式能够避免采用Design Kit方式设计的器件(比如PCELL、RF)内部寄生参量重复提取,确保仿真结果准确无误,降低设计流片失败的风险,提高芯片的良率。

Simulink仿真参数设定

simulink中的solver各选项表示的意思ZZ 2007-05-11 21:12 | (分类:默认分类) 构建好一个系统的模型之后,接下来的事情就是运行模型,得出仿真结果。运行一个仿真的完整过程分成三个步骤:设置仿真参数,启动仿真和仿真结果分析。 一、设置仿真参数和选择解法器 设置仿真参数和选择解法器,选择Simulation菜单下的Parameters命令,就会弹出一个仿真参数对话框,它主要用三个页面来管理仿真的参数。 Solver页,它允许用户设置仿真的开始和结束时间,选择解法器,说明解法器参数及选择一些输出选项。 Workspace I/O页,作用是管理模型从MATLAB工作空间的输入和对它的输出。 Diagnostics页,允许用户选择Simulink在仿真中显示的警告信息的等级。 1、Solver页 此页可以进行的设置有:选择仿真开始和结束的时间;选择解法器,并设定它的参数;选择输出项。 仿真时间:注意这里的时间概念与真实的时间并不一样,只是计算机仿真中对时间的一种表示,比如10秒的仿真时间,如果采样步长定为0.1,则需要执行100步,若把步长减小,则采样点数增加,那么实际的执行时间就会增加。一般仿真开始时间设为0,而结束时间视不同的因素而选择。总的说来,执行一次仿真要耗费的时间依赖于很多因素,包括模型的复杂程度、解法器及其步长的选择、计算机时钟的速度等等。 仿真步长模式:用户在Type后面的第一个下拉选项框中指定仿真的步长选取方式,可供选择的有Variable-step(变步长)和Fixed-step(固定步长)方式。变步长模式可以在仿真的过程中改变步长,提供误差控制和过零检测。固定步长模式在仿真过程中提供固定的步长,不提供误差控制和过零检测。用户还可以在第二个下拉选项框中选择对应模式下仿真所采用的算法。 变步长模式解法器有:ode45,ode23,ode113,ode15s,ode23s,ode23t,ode23tb和discrete。ode45:缺省值,四/五阶龙格-库塔法,适用于大多数连续或离散系统,但不适用于刚性(stiff)系统。它是单步解法器,也就是,在计算y(tn)时,它仅需要最近处理时刻的结果y(tn-1)。一般来说,面对一个仿真问题最好是首先试试ode45。 ode23:二/三阶龙格-库塔法,它在误差限要求不高和求解的问题不太难的情况下,可能会比ode45更有效。也是一个单步解法器。 ode113:是一种阶数可变的解法器,它在误差容许要求严格的情况下通常比ode45有效。ode113是一种多步解法器,也就是在计算当前时刻输出时,它需要以前多个时刻的解。 ode15s:是一种基于数字微分公式的解法器(NDFs)。也是一种多步解法器。适用于刚性系统,当用户估计要解决的问题是比较困难的,或者不能使用ode45,或者即使使用效果也不好,就可以用ode15s。 ode23s:它是一种单步解法器,专门应用于刚性系统,在弱误差允许下的效果好于ode15s。它能解决某些ode15s所不能有效解决的stiff问题。 ode23t:是梯形规则的一种自由插值实现。这种解法器适用于求解适度stiff的问题而用户又需要一个无数字振荡的解法器的情况。 ode23tb:是TR-BDF2的一种实现, TR-BDF2 是具有两个阶段的隐式龙格-库塔公式。discrtet:当Simulink检查到模型没有连续状态时使用它。 固定步长模式解法器有:ode5,ode4,ode3,ode2,ode1和discrete。 ode5:缺省值,是ode45的固定步长版本,适用于大多数连续或离散系统,不适用于刚性系统。

MOS管寄生参数的影响和其驱动电路要点

MOS管寄生参数的影响和其驱动电路要点 我们在应用MOS管和设计MOS管驱动的时候,有很多寄生参数,其中最影响MOS管开关性能的是源边感抗。寄生的源边感抗主要有两种来源,第一个就是晶圆DIE和封装之间的Bonding 线的感抗,另外一个就是源边引脚到地的PCB走线的感抗(地是作为驱动电路的旁路电容和电源网络滤波网的返回路径)。在某些情况下,加入测量电流的小电阻也可能产生额外的感抗。 我们分析一下源边感抗带来的影响: 使得MOS管的开启延迟和关断延迟增加 由于存在源边电感,在开启和关段初期,电流的变化被拽了,使得充电和放电的时间变长了。同时源感抗和等效输入电容之间会发生谐振(这个谐振是由于驱动电压的快速变压形成的,也是我们在G端看到震荡尖峰的原因),我们加入的门电阻Rg和内部的栅极电阻Rm都会抑制这个震荡(震荡的Q值非常高)。 我们需要加入的优化电阻的值可以通过上述的公式选取,如果电阻过大则会引起G端电压的过冲(优点是加快了开启的过程),电阻过小则会使得开启过程变得很慢,加大了开启的时间(虽然G端电压会被抑制)。 源边感抗另外一个影响是阻碍Id的变化,当开启的时候,初始时di/dt偏大,因此在原感抗上产生了较大压降,从而使得源点点位抬高,使得Vg电压大部分加在电感上面,因此使得G 点的电压变化减小,进而形成了一种平衡(负反馈系统)。 另外一个重要的寄生参数是漏极的感抗,主要是有内部的封装电感以及连接的电感所组成。 在开启状态的时候Ld起到了很好的作用(Subber吸收的作用),开启的时候由于Ld的作用,有效的限制了di/dt/(同时减少了开启的功耗)。在关断的时候,由于Ld的作用,Vds电压形成明显的下冲(负压)并显著的增加了关断时候的功耗。下面谈一下驱动(直连或耦合的)的一些重要特性和典型环节:

simulink仿真全参数设置

1.变步长(Variable—Step)求解器 可以选择的变步长求解器有:ode45,ode23,ode113,odel5s,ode23s和discret.缺省情况下,具有状态的系统用的是ode45;没有状态的系统用的是discrete. 1)ode45基于显式Runge—Kutta(4,5)公式,Dormand—Prince对.它是—个单步求解器(solver)。也就是说它在计算y(tn)时,仅仅利用前一步的计算结果y(tn-1).对于大多数问题.在第一次仿真时、可用ode45试一下. 2)ode23是基于显式Runge—Kutta(2,3).Bogackt和Shampine对.对于宽误差容限和存在轻微刚性的系统、它比ode45更有效一些.ode23也是单步求解器.3)odell3是变阶Adams-Bashforth—Moulton PECE求解器.在误差容限比较严时,它比ode45更有效.odell3是一个多步求解器,即为了计算当前的结果y(tn),不仅要知道前一步结果y(tn-1),还要知道前几步的结果y(tn-2),y(tn-3),…; 4)odel5s是基于数值微分公式(NDFs)的变阶求解器.它与后向微分公式BDFs(也叫Gear方法)有联系.但比它更有效.ode15s是一个多步求解器,如果认为一个问题是刚性的,或者在用ode45s时仿真失败或不够有效时,可以试试odel5s。odel5s是基于一到五阶的NDF公式的求解器.尽管公式的阶数越高结果越精确,但稳定性会差一些.如果模型是刚性的,并且要求有比较好的稳定性,应将最大的阶数减小到2.选择odel5s求解器时,对话框中会显示这一参数.可以用ode23求解器代替。del5s,ode23是定步长、低阶求解器. 5)ode23s是基于一个2阶改进的Rosenbrock公式.因为它是一个单步求解器,所以对于宽误差容限,它比odel5s更有效.对于一些用odel5s不是很有效的刚性问题,可以用它解决. 6)ode23t是使用“自由”内插式梯形规则来实现的.如果问题是适度刚性,而且需要没有数字阻尼的结果,可采用该求解器. 7)ode23tb是使用TR—BDF2来实现的,即基于隐式Runge—Kutta公式,其第一级是梯形规则步长和第二级是二阶反向微分公式.两级计算使用相同的迭代矩阵.与ode23s相似,对于宽误差容限,它比odtl5s更有效. 8)discrete(变步长)是simulink在检测到模型中没有连续状态时所选择的一种求解器.

寄生参数提取-CalibrexRC培训班

寄生参数提取-Calibre xRC培训班 (1天) 课程描述: Mentor Graphics 的Calibre 是深亚微米物理验证的工业标准。本次课程将教会用户IC设计流程中有效的使用Calibre xRC进行寄生参数提取。更有相应的练习课程可加深对Calibre xRC概念和技术的理解,增加Calibre xRC的使用经验。 在这次课中可以学到: ●如何编写Calibre xRC所需的文件; ●如何使用Calibre xRC进行寄生参数提取,产生Lumped-C、Distributed RC和RCC网表等; ●如何分析Calibre xRC的报告和了解提取出来的网表结果等; ●了解Calibre xRC与其他EDA供应商的工具的接口。 适合的听众: ●IC设计工程师和版图工程师; ●需要编写rule files的工程师; ●CAD支持小组。 需要的知识: ●IC版图设计的知识; ●使用Calibre LVS的经验; ●了解电路仿真的基本知识; ●了解SPICE网表; ●熟悉UNIX; ●版图验证概念和工具的知识(非必需)。

附录1: Calibre xRC for Parasitic Extraction Training Course Schedule ●Morning ?Introduce Calibre xRC ?Foundational Flow Concepts ?Parasitic Elements and Netlists ?Transistor-Level Extraction ?Lab ●Morning ?Gate-Level Extraction ?Customizing Output Netlists ?Hierarchical Extraction ?Lab ?Q&A

calibre电子书制作教程

kindle下英文书的mobi资源很多,但是中文版的mobi资源很少,大多需要自己制作来完成。自从拿到kindle后,很是折腾了一把,小有心的,和大家分享一下。帖子的内容主要集中在如何通过calibre来自制mobi格式的书籍,集中在txt到mobi的过程 需要使用到的软件 ?calibre,下载地址https://www.wendangku.net/doc/e79165642.html,/download ?kindle for pc,https://www.wendangku.net/doc/e79165642.html,/30427/KindleForPC-installer.exe 用来检查输出后的效果,calibre内置的阅读器速度太慢。 ?一个好一点的文本编辑器,要支持两个功能:regex(正则表达式)和unicode格式转换功能,我现在用的是emeditor ?文本处理/排版工具软件 1.GIDOT TYPESETTER,https://www.wendangku.net/doc/e79165642.html,/typesetter/ 2.Textforever,可能会用到,主要用于html文件到txt的整理工作 https://www.wendangku.net/doc/e79165642.html,/stronghorse/software/index.htm#TextForever 我在这里举几个例子大家,按照我的步骤操作一下,你基本上就会使用calibre了 第一个例子 话说我今天早上想起来,突然想看一本武侠小说,于是就去到一个我经常逛的网站:好读,这个网站以精排版的电子书闻名。好,我们随便挑一本书,古龙的《欢乐英雄》(https://www.wendangku.net/doc/e79165642.html,/?M=Share& P=0604)。好读支持的格式是PDB的,但是pdb的格式不能在kindle上直接打开,需要转换。先装一个pdb for calibre的插件,https://www.wendangku.net/doc/e79165642.html,/calibre-haodoo/。 我们在calibre当中把下载的pdb文件拖到calibre中去,点击工具栏上的“convert books", 左上角是输入格式,右上角是输出格式,如果你需要不高的话,直接点击ok,就是直接转成了mobi格式了

使用Calibre实现RFCMOS电路寄生参量的提取及后仿真

使用Calibre xRC实现RFCMOS电路的寄生参量提取 及后仿真 郭慧民 [摘要] Calibre xRC是Mentor Graphics公司用于寄生参量提取的工具,其强大的功能和良好的易用性使其得到业界的广泛认可。本文以采用RFCMOS工艺实现的LNA为例,介绍使用Calibre xRC对RFCMOS电路寄生参量提取,以Calibreview 形式输出以及在Virtuoso的ADE中直接后仿真的流程。本文还将讨论Calibre xRC特有的XCELL方式对包含RF器件的电路仿真结果的影响。 采用Calibre xRC提取寄生参量 采用RFCMOS工艺设计低噪声放大器(LNA),其电路图如图1所示,版图如图2所示。 图1 LNA的电路图

图2 LNA的版图 Calibre支持将其快捷方式嵌入在Virtuoso平台中。用户只需在自己.cdsinit文件中加入以下一行语句: load( strcat( getShellEnvVar("MGC_HOME") "/lib/calibre.skl" )) 就可以在virtuoso的菜单中出现“calibre”一项,包含如下菜单: 点击Run PEX,启动Calibre xRC的GUI,如图3所示。Outputs菜单中的Extraction Type里,第一项通常选择Transistor Level或Gate Level,分别代表晶体管级提取和门级提取。第二项可以选择R+C+CC,R+C,R,C+CC,其中R 代表寄生电阻,C代表本征寄生电容,CC代表耦合电容。第三项可以选择No Inductance,L或L+M,分别代表不提取电感,只提取自感和提取自感与互感。这些设置由电路图的规模和提取的精度而定。 在Format一栏中,可以选择SPECTRE,ELDO,HSPICE等网表形式,也可以

寄生参数的影响和解决方案

版图设计中的寄生参数分析 深圳中兴集成电路设计有限公司金善子 1.引言 正如我们了解的,工艺层是芯片设计的重要组成部分。一层金属搭在另一层金属上面,一个晶体管靠近另一个晶体管放置,而且这些晶体管全部都是在衬底上制作的。只要在工艺制造中引入了两种不同的工艺层,就会产生相应的寄生器件,这些寄生器件广泛地分布在芯片各处,更糟糕的是我们无法摆脱它们。 寄生器件是我们非常不希望出现的,它会降低电路的速度,改变频率响应或者一些意想不到的事情发生。既然寄生是无法避免的,那么电路设计者就要充分将这些因素考虑进去,尽量留一些余量以便把寄生参数带来的影响降至最低。 2.寄生参数的种类 寄生参数主要包括了电容寄生、电阻寄生,和电感寄生。 2.1 寄生电容 图1所呈现的是在不同金属层之间以及它们与衬底之间产生的电容情况: 图(1) 无处不在的寄生电容 由上图我们可以看到寄生电容无处不在。不过需要了解的是即使寄生电容很多,但是如果你的电路设计对电容不十分敏感的时候,我们完全可以忽略它们。但当电路的设计要求芯片速度很快的时候,或者频率很高时,这些寄生的电容就显得格外重要了。一般来说,在一个模拟电路中,只要频率超过20MHz 以上,就必须对它们给予注意,否则,它有可能会毁掉你的整个芯片。 减少寄生电容可以从以下几个方面入手: (1)导线长度 如果你被告知某个区域的寄生参数要小,最直接有效的方法就是尽量减小导线长度,因为导线长度小的话,与它相互作用而产生的电容例如金属或者衬底层的电容就会相应地减小,这个道理显而易见。 (2)金属层的选择 另一种解决的办法则是你的金属层选择。起主要作用的电容通常是导线与衬底之间的电容,图2则说明了衬底电容对芯片的影响。

StarRCXT使用指南

Star-RCXT使用指南单元库设计B组:张真华马艳

目录 Star-RCXT使用指南 (1) 1 .Star-RCXT概述 (3) 功能 (3) 2 .使用环境及所需文件 (3) 3 .运行流程 (4) Hercules 流程 (4) CCI (Calibre Connectivity Interface)流程 (9) 4 .更多StarRCXT命令选项 (12) Extraction命令 (13) Processing 命令 (13) Netlist命令 (13) 5 .StarRCXT运行过程中常见问题 (13) 6 .帮助文档 (15)

1 . Star-RCXT概述 Star-RCXT是一个集成电路版图寄生参数提取工具,并且生成一个带有版图寄生参数的网表供时序和噪声分析。 功能 1、可以完成精确的全芯片的噪声、时序、电压降分析。 2、有选择的对关键路径进行参数抽取和分析。 3、适用于各种设计类型如ASIC、全定制、存储器和模拟设计。 4、提供层次化和分布式处理。 2 .使用环境及所需文件 说明: 1、Star-RCXT 可以直接读取Milkyway ,LEF/DEF, Calibre Connectivity Interface(CCI)和Hercules流程所产生的数据库。 2、TCAD_GRD_FILE是一个以nxtgrd为扩展名的文件,其中包含了对方块电阻等工艺

参数的定义的工艺文件(ITF)的内容, Star-RCXT就是基于这些工艺参数进行计算。 3、MAPPING_FILE是一个以map为扩展名的文件,是TCAD_GRD_FILE中的层名和LVS runset文件中定义的层名的一个映射。不同的LVS runset文件需要定义不同maping文件。 4、star_cmd是一个包含所要执行命令的文件,通常用在命令行方式下,可以通过添加修改文件中的命令来达到所需应用。 5、Star_RCXT有SPF、SPEF、SBPF等输出格式。 3 . 运行流程 运行流程有以下几种:Milkyway Database Flow、LEF/DEF Database Flow、Hercules Database Flow、Calibre Connectivity Interface (CCI) Flow。各个流程的主要区别是各自生成的含有版图信息的数据库不同。 Hercules 流程 1、在hercules lvs runset 文件中找到如下语句并将值设为TRUE: (以/*开头的为注释语句。) /*Set to “TRUE” for Hercules StarRCXT TR ;level RC flow*/ V ARIABLE string STARRCXT =”TRUE”; 这行命令将会在执行LVS的同时生成一个带有LAYOUT信息的Milkyway Database。 2、运行LVS。(过程参见验证工具使用指南) 完成后将会在运行目录下生成一个和BLOCK名称相同的文件夹,这就是StarRCXT可以直接读取的Milkyway Database。 3、运行StarRCXT(图形化界面方式) #StarXtract -gui 将会看到如下界面: 选择Setup-〉Single Shot

calibre 介绍

epub电子书格式转换(E-book Conversion翻译) – calibre calibre转换系统的设计非常容易使用。通常情况下,你只需要添加一本书到calibre, 单击转换, calibre将尽可能接近输入地产生输出。然而,calibre接受的输入格式非常多,但并非适合所有这些格式都转换为其它格式电子图书。在这种情况下对于这些输 入格式,如果你想在更大程度上控制转换系统,calibre有很多转换过程中的控制选项。但是请注意,calibre的转换系 统并不是一个完全成熟的电子书编辑器的替代品。要编辑电子书,我建议首先使用calibre将它们转换为EPUB,然后用专 用EPUB的编辑器,如Sigil ,以获得 完美的造型成书。然后,您可以使用编辑过的EPUB作为输入,用calibre 转换为其他 格式。 这份文件将主要是指转 换设置,如下图转 换对话框所示。所有这些设置也可以通过命令行界面的转换,并记录电子书转换。 在calibre,您可以通过移动你的鼠标,在任何个人设置中获得帮助,会出现一个工具 提示描述设置。 本文来自CSDN博客,转载请标明出处: https://www.wendangku.net/doc/e79165642.html,/ccwwff/archive/2010/11/02/5982552.aspx

内容 简介 外观和感觉 页面设置 结构检测 目录 如何设置选项/保存的转换特定格式的转换提示

简介 首先要了解有关转换系统,它是一个管道设计的。示意图如下: 输入格式是先由相应的输入插件转换为XHTML。然后转换HTML。在最后一步,处理XHTML是有适当的输出插件转换到指定的输出格式。转换的结果根据输入格式可能差别很大。一些格式转换比其它工具好。这里有一个最 好的源格式转换列表如: LIT, MOBI, EPUB, HTML, PRC, RTF, PDB, TXT, PDF。 该转换XHTML的输出上的行为是发生在所有的工作。有各种各样的转换,例如,在书的开始要插入书元数据(metadata)页,用来检测章节标题并自动创建的目录表,按比例调整字体大小,等等。重要的是要记住,所有的转换是XHTML输出输入插件的行为不是在 输入文件本身。因此,举例来说,如果你问calibre转换RTF文件为EPUB的,它首先被内部转换为XHTML,各种转换将被应用到的XHTML,然后输出插件将创建EPUB 的文件,所有元数据(metadata)、目录等等,自动生成。 您可以通过使用调试选项看到这一 行动的过程。只需指定调试的路径为输出目录。在转换过程中,calibre将会把生成的XHTML转换流水线的各个阶段放在不同子目录。四个子目录是: 转换管道阶段 目录 | 说明 input | 这包含了HTML输出输入插件。使用此调试输入插件。 parsed | 前处理和从输入插件转换为XHTML输出结果。用于调试的结构检测。

MATLAB第六章simulink仿真答案

实验四 SIMULINK 仿真实验 一、 实验目的 1. 学习SIMULINK 的实验环境使用。 2. 掌握SIMULINK 进行结构图仿真的方法。 二、 实验内容 1.控制系统结构图仿真 给定被控对象) 1(10 )(+= s s s G ,控制器111.0145.0)(++=s s s D ,按以下两种情 况设计SIMULINK 仿真结构图(给定信号是单位阶跃信号)。 (1) 无控制器时被控对象单位负反馈。 (2) 控制器与被控对象串连接成单位负反馈。 给定的仿真参数: (1) 信号源参数设置: 阶跃信号(Step )的Step time 设为0秒。 (2)仿真参数设置: 仿真时间 0~10秒,求解器选定步长(Fixed-step)的ode5,仿真步长(Fixed step size )设为0.02秒。 实验要求: (1) 在SIMULINK 中对设计的结构图进行仿真,观察输入信号,输出信号和控制信号。 (1) 记录保存两种情况下的响应波形(适当调整时间轴和纵轴坐标,使图形显示适中,同时在图中求出系统的超调和调节时间(按2%的误差带)。

123 45678910 00.20.40.60.8 1 1.2 1.4 时间 (seconds) d a t a 时序图: 0123 45678910 0.20.40.60.81 1.21.41.6 1.8时间 (seconds) d a t a 时序图:

2.动态系统微分方程仿真 在SIMULINK 中求解下列二阶微分方程代表的动态系统在阶跃信号作用下的状态响应。 给定的仿真参数: (1) 信号源参数设置:阶跃信号(Step )的Step time 设为0秒。 (2) 仿真参数设置:仿真时间 0~8秒,求解器选变步长 (Variable-step)的ode45,最大仿真步长(Max step size )设为0.01秒。 实验要求: (1) 据微分方程构造结构图。 (2) 结构图仿真。 a) 零状态仿真:x 1=0,x 2=0, b) 非零状态仿真:x 1=1,x 2=-1, c) 记录保存两种情况下的响应波形(适当调整时间轴和纵轴坐 标,使图形显示适中)。 1 22122110) (1,||210x y t u u x x x x x x ==++--==

PCB过孔概念、寄生参数介绍

PCB过孔概念、寄生参数介绍 https://www.wendangku.net/doc/e79165642.html, 2003-11-18 上海泰齐科技网 一、过孔的概念 过孔(via)是多层PCB的重要组成部分之一,钻孔的费用通常占PCB制板费用的30%到40%。简单的说来,PCB上的每一个孔都可以称之为过孔。从作用上看,过孔可以分成两类:1、用作各层间的电气连接。2、用作器件的固定或定位。如果从工艺制程上来说,这些过孔一般又分为三类,即盲孔(blind via)、埋孔(buried via)和通孔(through via)。盲孔位于印刷线路板的顶层和底层表面,具有一定深度,用于表层线路和下面的内层线路的连接,孔的深度通常不超过一定的比率(孔径)。埋孔是指位于印刷线路板内层的连接孔,它不会延伸到线路板的表面。上述两类孔都位于线路板的内层,层压前利用通孔成型工艺完成,在过孔形成过程中可能还会重叠做好几个内层。第三种称为通孔,这种孔穿过整个线路板,可用于实现内部互连或作为元件的安装定位孔。由于通孔在工艺上更易于实现,成本较低,所以绝大部分印刷电路板均使用它,而不用另外两种过孔。以下所说的过孔,没有特殊说明的,均作为通孔考虑。 从设计的角度来看,一个过孔主要由两个部分组成,一是中间的钻孔(drill hole),二是钻孔周围的焊盘区,见下图。这两部分的尺寸大小决定了过孔的大小。很显然,在高速,高密度的PCB设计时,设计者总是希望过孔越小越好,这样板上可以留有更多的布线空间,此外,过孔越小,其自身的寄生电容也越小,更适合用于高速电路。但孔尺寸的减小同时带来了成本的增加,而且过孔的尺寸不可能无限制的减小,它受到钻孔(drill)和电镀(plating)等工艺技术的限制:孔越小,钻孔需花费的时间越长,也越容易偏离中心位置;且当孔的深度超过钻孔直径的6倍时,就无法保证孔壁能均匀镀铜。比如,现在正常的一块6层PCB板的厚度(通孔深度)为50Mil左右,所以PCB厂家能提供的钻孔直径最小只能达到8Mil。 二、过孔的寄生电容 过孔本身存在着对地的寄生电容,如果已知过孔在铺地层上的隔离孔直径为D2,过孔焊盘的直径为 D1,PCB板的厚度为T,板基材介电常数为ε,则过孔的寄生电容大小近似于: C=1.41εTD1/(D2-D1) 过孔的寄生电容会给电路造成的主要影响是延长了信号的上升时间,降低了电路的速度。举例来说,对于一块厚度为50Mil的PCB板,如果使用内径为10Mil,焊盘直径为20Mil的过孔,焊盘与地铺铜区的距离为32Mil,则我们可以通过上面的公式近似算出过孔的寄生电容大致是: C=1.41x4.4x0.050x0.020/(0.032-0.020)=0.517pF,这部分电容引起的上升时间变化量为: T10-90=2.2C(Z0/2)=2.2x0.517x(55/2)=31.28ps 。从这些数值可以看出,尽管单个过孔的寄生电容引起的上升延变缓的效用不是很明显,但是如果走线中多次使用过孔进行层间的切换,设计者还是要慎重考虑的。 三、过孔的寄生电感 同样,过孔存在寄生电容的同时也存在着寄生电感,在高速数字电路的设计中,过孔的寄生电感带来的危害往往大于寄生电容的影响。它的寄生串联电感会削弱旁路电容的贡献,减弱整个电源系统的滤波效用。我们可以用下面的公式来简单地计算一个过孔近似的寄生电感: L=5.08h[ln(4h/d)+1] 其中L指过孔的电感,h是过孔的长度,d是中心钻孔的直径。从式中可以看出,过孔的直径对电感的影

simulink模拟通信系统仿真及仿真流程

基于Simulink的通信系统建模与仿真 ——模拟通信系统 姓名:XX 完成时间:XX年XX月XX日

一、实验原理(调制、解调的原理框图及说明) AM调制 AM调制是用调制信号去控制高频正弦载波的幅度,使其按调制信号的规律变化的过程。AM调制原理框图如下 AM信号的时域和频域的表达式分别为 式中,为外加的直流分量;可以是确知信号也可以是随机信号,但通常认为其平均值为0,即。 AM解调 AM信号的解调是把接收到的已调信号还原为调制信号。 AM信号的解调方法有两种:相干解调和包络检波解调。 AM相干解调原理框图如下。相干解调的关键在于必须产生一个与调制器同频同相位的载波。如果同频同相位的条件得不到满足,则会破坏原始信号的恢复。 AM包络检波解调原理框图如下。AM信号波形的包络与输入基带信号成正比,故可以用包络检波的方法恢复原始调制信号。包络检波器一般由半波或全波整流器和低通滤波器组成。 DSB调制 在幅度调制的一般模型中,若假设滤波器为全通网络(=1),调制信号 中无直流分量,则输出的已调信号就是无载波分量的双边带调制信号(DSB)。DSB调制原理框图如下

DSB信号实质上就是基带信号与载波直接相乘,其时域和频域表示式分别为 DSB解调 DSB只能进行相干解调,其原理框图与AM信号相干解调时完全相同,如图 SSB调制 SSB调制分为滤波法和相移法。 滤波法SSB调制原理框图如下所示。图中的为单边带滤波器。产生SSB信号最直观方法的是,将设计成具有理想高通特性或理想低通特性的单边带滤波器,从而只让所需的一个边带通过,而滤除另一个边带。产生上边带信号时即为,产生下边带信号时即为。 滤波法SSB调制的频域表达式 相移法SSB调制的原理框图如下。图中,为希尔伯特滤波器,它实质上是一个宽带相移网络,对中的任意频率分量均相移。

PCB VLab 提取寄生参数方法

1、打开EMCoS PCB VLab 2、打开ODB++文件 点File选择new,from ODB++archive(*.zip)如图: 这里随便选个例子里的模型,ODB++文件一般的电路板仿真软件都可以生成3、导入模型如下图所示

4、选择显示bottom层(因为是两层的板子,top层为地平面,这样后面容易定义端口)如下 图 5、Click Create Port button on Model and Analysis Toolbar; PCB上面直接选取两点,然后点ok即可。 再选一处(可以多个位置选择)

这样在history栏里能看到生成了两个“Port” 6、点击“check model”,Check Model button 查看没有错误,如下图 7、定义计算频率(寄生参数与频率对应的嘛),点击Task Definition button 点ok 8、点击计算

这时提示该保存了!当然最好开始建立模型后就保存! 保存为“exp1.epcb”文件 9、开始计算了 还挺慢!笔记本上计算的,忍吧! 10、仿真完毕

悲剧了c盘空间不够用了!!! 怎么办? 11、选择tools\options,定义如下把临时文件保存到d盘 12、…… 看错了,d盘空间还是不够! 13、先做到这儿吧!(后面的计算完,自动弹出结果!) 14、小结: 用PCB VLab提取寄生参数的方法大概就是这个流程,计算完毕后自动弹出结果。 这个有什么用? 我们现在看了大量的电磁兼容设计的书籍,都谈到在PCB布线时地和电源尽量粗、尽量短,以减少寄生参数。但是有么有一个衡量标准呢,这个答案应该是肯定的,一般通过简单的计算可以确定寄生参数的阈值,我们实际完用软件仿真一下验证PCB上的寄生参数不要超过这个值就ok了。(个人理解的,欢迎指正!) 本来以为不太复杂,结果做了两个小时,还由于硬件原因没有完成。还是希望给大家带来一些帮助,欢迎大家来交流,谢谢了!

电子论文-使用Calibre工具实现EB处理

使用Calibre工具实现EB处理 华虹NEC 晏志卿 芯片版图设计完成后,必须将设计数据转换成EB数据以制造掩模版。为了提高芯片的规模和集成度,降低成本,芯片越来越采用更小尺寸的工艺来制造,但更小尺寸的制造工艺同时也必须考虑更多的问题。当前的深亚微米半导体制造工艺对于芯片设计层次(GDSII layer)到掩模版层次(mask layer)的转换规则(EB Processing Rule)日趋复杂。目前几乎所有的芯片代工厂都会将客户的layout数据转换成EB数据。然而GDSII layer到mask layer的转换往往工作量巨大,EB规则复杂时不易实现,增加了流片失败的风险。在应用中,我们发现Mentor Graphics 公司的Calibre工具可以很好的解决这些问题,能够完全依据EB 规则将GDSII layer形式的设计数据转换成mask layer形式的数据。本文将简要介绍如何使用Calibre解决GDSII层次转换问题,实现设计数据到EB数据的自动转换。 为了清楚地描述此项工作,我们以下面一个层次转换为例讲叙使用calibre 实现GDSII层次转换的过程。 1.使用Calibre逻辑运算命令操作GDSII Layer。这项工作可以通过若干个SVRF语 句实现: T011 = X1 OR X25 T012 = T011 OR X30 T013 = T012 OR X31 上式中的设计层次X1,X25,X30,X31做成掩模版后是同一层01,其EB规则是mask layer 01 = X1+X25+X30+X31 2. 将EB处理后的层次输出到指定的Rule上。 NWELL { COPY T013}

版图设计中的寄生参数分析

版图设计中的寄生参数分析 1.引言 正如我们了解的,工艺层是芯片设计的重要组成部分。一层金属搭在另一层金属上面,一个晶体管靠近另一个晶体管放置,而且这些晶体管全部都是在衬底上制作的。只要在工艺制造中引入了两种不同的工艺层,就会产生相应的寄生器件,这些寄生器件广泛地分布在芯片各处,更糟糕的是我们无法摆脱它们。 寄生器件是我们非常不希望出现的,它会降低电路的速度,改变频率响应或者一些意想不到的事情发生。既然寄生是无法避免的,那么电路设计者就要充分将这些因素考虑进去,尽量留一些余量以便把寄生参数带来的影响降至最低。 2.寄生参数的种类 寄生参数主要包括了电容寄生、电阻寄生,和电感寄生。 2.1 寄生电容 图1所呈现的是在不同金属层之间以及它们与衬底之间产生的电容情况: 图(1) 无处不在的寄生电容 由上图我们可以看到寄生电容无处不在。不过需要了解的是即使寄生电容很多,但是如果你的电路设计对电容不十分敏感的时候,我们完全可以忽略它们。但当电路的设计要求芯片速度很快的时候,或者频率很高时,这些寄生的电容就显得格外重要了。一般来说,在一个模拟电路中,只要频率超过20MHz 以上,就必须对它们给予注意,否则,它有可能会毁掉你的整个芯片。 减少寄生电容可以从以下几个方面入手: (1)导线长度 如果你被告知某个区域的寄生参数要小,最直接有效的方法就是尽量减小导线长度,因为导线长度小的话,与它相互作用而产生的电容例如金属或者衬底层的电容就会相应地减小,这个道理显而易见。 (2)金属层的选择 另一种解决的办法则是你的金属层选择。起主要作用的电容通常是导线与衬底之间的电容,图2则说明了衬底电容对芯片的影响。

实验四-SIMULINK仿真模型的建立及仿真

实验四 SIMULINK仿真模型的建立及仿真(一) 一、实验目的: 1、熟悉SIMULINK模型文件的操作。 2、熟悉SIMULINK建模的有关库及示波器的使用。 3、熟悉Simulink仿真模型的建立。 4、掌握用不同的输入、不同的算法、不同的仿真时间的系统仿真。 二、实验内容: 1、设计SIMULINK仿真模型。 2、建立SIMULINK结构图仿真模型。 3、了解各模块参数的设定。 4、了解示波器的使用方法。 5、了解参数、算法、仿真时间的设定方法。 例7.1-1 已知质量m=1kg,阻尼b=2N.s/m。弹簧系数k=100N/m,且质量块的初始位移x(0)=0.05m,其初始速度x’(0)=0m/s,要求创建该系统的SIMULINK 模型,并进行仿真运行。 步骤: 1、打开SIMULINK模块库,在MATLAB工作界面的工具条单击SIMULINK图标,或在MATLAB指令窗口中运行simulink,就可引出如图一所示的SIMULINK模块浏览器。 图一:SIMULINK模块浏览器

2、新建模型窗,单击SIMULINK模块库浏览器工具条山的新建图标,引出如图二所示的空白模型窗。 图二:已经复制进库模块的新建模型窗 3、从模块库复制所需模块到新建模型窗,分别在模块子库中找到所需模块,然后拖进空白模型窗中,如图二。 4、新建模型窗中的模型再复制:按住Ctrl键,用鼠标“点亮并拖拉”积分模块到适当位置,便完成了积分模块的再复制。 5、模块间信号线的连接,使光标靠近模块输出口;待光标变为“单线十字叉”时,按下鼠标左键;移动十字叉,拖出一根“虚连线”;光标与另一个模块输入口靠近到一定程度,单十字变为双十字;放开鼠标左键,“虚连线”变变为带箭头的信号连线。如图三所示:

简单提一下calibre-LVS中hcells的应用

在做大屏LCD Driver的chip layout TOP层验证时,因为SRAM和APR部分layout(版图) 太过于复杂,而电脑配置如果有限,calibre LVS运行到一半时就会因为内存不足而中断,无法继续。因此需要用到hcells,他可以简化schematic和layout的层次,有效的提高calibre的性能,同时也会缩短LVS所需要的时间。hcell英文意思是hierarchically corresponding cell,我把它翻译为层次化对应的单元。 在一般情况下,在layout(版图)和source中相对应的hcell列表应该是比较简单的,且这些cell在层次化的结构中出现了很多次。一个详细的hcell列表是没用必要的,往往适得其反。这是因为在Calibre LVS 时层次化的单元会被选择性的打散以提高性能,而密集的单元会影响calibre的性能。在hcell列表中的cells则不会被打平。指定某些像通孔(via)或其它一些小的单元也会阻碍calibre LVS的性能。 在calibre LVS hcells中,layout(版图) cell name和相对应的source cell name可能是一样的,也可能不同。可以指定一对多的关系,即一个layout(版图) cell name对应多个不同的source cell name。当然也可以指定多对一的关系,即一个source cell name对应多个layout(版图) cell name。但是多对多的关系是不被允许的。 下面举个例子说明一下 hcells 文件的格式 //layout source ABC DEF ABC GHI ABC JKL UVW XYZ RST XYZ OPQ XYZ UVW GHI OPQ DEF 一对多的例子:同一layout cell name 对应不同的source cell name //layout source ABC DEF ABC GHI ABC JKL 多对一的例子:不同的layout cell name 对应不同的 source cell name //layout source UVW XYZ RST XYZ OPQ XYZ

【CN109900983A】一种高频变压器寄生参数的测量装置【专利】

(19)中华人民共和国国家知识产权局 (12)发明专利申请 (10)申请公布号 (43)申请公布日 (21)申请号 201910139650.7 (22)申请日 2019.02.26 (71)申请人 北京航空航天大学 地址 100191 北京市海淀区学院路37号 (72)发明人 苏东林 朱凯翔 徐辉 彭珍珍  (74)专利代理机构 北京航智知识产权代理事务 所(普通合伙) 11668 代理人 陈磊 程连贞 (51)Int.Cl. G01R 31/00(2006.01) (54)发明名称一种高频变压器寄生参数的测量装置(57)摘要本发明公开了一种高频变压器寄生参数的测量装置,包括:电源模块、信号发生模块、信号获取模块、信号分析模块以及信号调节模块;信号发生模块向待测高频变压器发送的激励信号为方波信号,无需对待测高频变压器进行扫频测量,属于时域测量,因此,测量次数大大减少,降低了对于测量装置和实际操作的要求,并且,通过信号调节模块调节待测高频变压器输出给信号获取模块的模拟信号,使得信号分析模块可以接收的不同的数字信号并根据不同的数字信号分析得出待测高频变压器的寄生参数,该分析过程并无矢量拟合,与现有的测量结果依赖于矢量拟合的测量方式相比,大大提高了测量结果的精 度。权利要求书2页 说明书8页 附图8页CN 109900983 A 2019.06.18 C N 109900983 A

权 利 要 求 书1/2页CN 109900983 A 1.一种高频变压器寄生参数的测量装置,其特征在于,包括:电源模块、信号发生模块、信号获取模块、信号分析模块以及信号调节模块;其中, 所述电源模块分别与所述信号发生模块、所述信号获取模块和所述信号分析模块电性连接,用于向所述信号发生模块、所述信号获取模块和所述信号分析模块提供电源; 所述信号发生模块通过信号调节模块与待测高频变压器电性连接,用于向所述待测高频变压器发送方波信号; 所述信号获取模块通过信号调节模块与所述待测高频变压器电性连接,所述信号获取模块与所述信号分析模块电性连接,用于接收所述待测高频变压器响应的模拟信号,将所述模拟信号转换成数字信号后发送给所述信号分析模块; 所述信号调节模块,用于调节所述待测高频变压器输出给所述信号获取模块的模拟信号; 所述信号分析模块,用于接收所述信号获取模块发送的所述数字信号,根据所述数字信号分析得出所述待测高频变压器的寄生参数。 2.如权利要求1所述的测量装置,其特征在于,所述信号调节模块,具体包括:基板、位于所述基板上的覆铜层、与所述覆铜层分别电性连接的第一端口和第二端口、与所述覆铜层相互绝缘的第三端口和第四端口、第一连接器、第二连接器以及可变电阻;其中,所述可变电阻连接于所述第三端口与所述第一连接器之间,所述第一连接器与所述信号发生模块电性连接; 所述第四端口通过所述第二连接器与所述信号获取模块电性连接; 所述第一端口、所述第二端口、所述第三端口和所述第四端口分别与所述待测高频变压器的端口电性连接。 3.如权利要求2所述的测量装置,其特征在于,所述信号发生模块,具体包括:信号发生器; 所述信号发生器与所述第一连接器电性连接。 4.如权利要求3所述的测量装置,其特征在于,所述信号获取模块,具体包括:示波器; 所述示波器的输入端与所述第二连接器电性连接,所述示波器的输出端与所述信号分析模块电性连接。 5.如权利要求4所述的测量装置,其特征在于,所述信号分析模块,具体包括:处理器; 所述处理器与所述示波器的输出端电性连接。 6.如权利要求5所述的测量装置,其特征在于,所述电源模块,具体包括:电源转换器; 所述电源转换器分别与所述信号发生器、所述示波器和所述处理器电性连接。 7.如权利要求1-6任一项所述的测量装置,其特征在于,还包括:显示模块; 所述显示模块与所述信号发生模块电性连接,用于显示并设置所述信号发生模块发送的所述方波信号的控制参数。 8.如权利要求7所述的测量装置,其特征在于,所述显示模块与所述信号获取模块电性连接,用于接收并显示所述信号获取模块接收的所述模拟信号,显示并设置所述模拟信号的控制参数。 9.如权利要求7所述的测量装置,其特征在于,所述显示模块与所述信号分析模块电性连接,用于接收并显示所述信号分析模块分析出的所述待测高频变压器的寄生参数。 2

相关文档
相关文档 最新文档