文档库 最新最全的文档下载
当前位置:文档库 › 四川大学最新EDA期末复习题2016

四川大学最新EDA期末复习题2016

四川大学最新EDA期末复习题2016
四川大学最新EDA期末复习题2016

EDA期末复习题

一、单选题

1、下面即是并行语句又是串行语句的是()

A.变量赋值

B. 信号赋值

C. PROCESS语句

D.WHEN…ELSE语句

2、下列EDA软件中,哪一个不具有逻辑综合功能()

A. Max+Plus II

B. ModelSim

C. Quartus II

D. Synplify

3、在Quartus9.0中锁定引脚的操作是()

A. Assignments→> Pins

B. Assignments→> Settings

C. Assignments→>Device

D. Processing→>Pins

4、将STD_LOGIC_VECTOR类型转换成INTEGER类型的语句是()

A. CONV_STD_LOGIC_VECTOR()

B. CONV_INTEGER()

C. CONVERT_STD_LOGIC_VECTOR()

D. CONVERT_INTEGER()

5、该程序实现的功能是()

A. s=abc+ac+bc

B. s=abc+ac+bc

C. s=abc+ac+bc

D. s=ac+bc

图1

6、以下哪个文件扩展名是仿真波形的文件扩展名?

A、vhd

B、vwf

C、bdf

D、sof

7、以下哪种定义代表向量定义?

A、a IN STD_LOGIC

B、a OUT STD_LOGIC_VECTOR(3 DOWNTO 0)

C、a OUT INTEGER RANGE 0 to 300

D、a BUFFER BOOLEAN

8、VHDL相对于HDL的特殊优势在于?

A、以行为描述见长

B、支持自上而下的TDD设计

C、支持结构描述

D、具备了从比较抽象到比较具体的多个层面上对电子

实体进行混合描述的能力

9、下列不属于VHDL基本程序结构是?

A、CONFIGURATION定义区

B、ARCHITECTURE定义区

C、USE定义区

D、ENTITY定义区

10、下列哪个语句求逻辑量a与逻辑量b的异或结果?

A、yand <= a XOR b

B、yand <=a NOR b

C、yand := a XOR b

D、yand :=a NOR b

11、以下哪一种可编程逻辑器件是基于“查找表”的

A、PROM B

B、CPLD

C、FPGA

D、GAL

12、以下哪一项不属于CPLD器件中至少包含的三种结构

A、可编程逻辑宏单元

B、可编程I/O单元

C、可编程内部连线

D、可编程寄存器

13、以下哪一种可编程逻辑器件的结构为与阵列固定、或阵列可编程

A、PLA

B、PROM

C、PAL

D、GAL

14、FPGA的主要构成部分中不包括以下哪一项

A、逻辑阵列

B、 B、输出逻辑宏单元(OLMC)

C、嵌入式阵列

D、输入输出单元(IOE)

15、在PROTRL 99 SE中,电路原理图文件的扩展名是

A、.sch

B、.net

C、.erc

D、.pcb

16、以下哪个程序包是数字系统设计中最重要最常用的程序包

A、STD_LOGIC_ARITH

B、STD_LOGIC_1164

C、STD_LOGIC_UNSIGNED

D、STD_LOGIC_SIGNED

17、下列语句中,属于并行语句的是

A、进程语句

B、IF语句

C、CASE语句

D、F O R语句

18、进程中的变量赋值语句,其变量更新是

A、立即完成

B、按顺序完成

C、在进程的最后完成

D、都不对

19、下列标识符中,是不合法的标识符。

A、State0

B、9moon

C、Not_Ack_0

D、signall

20、1987标准的VHDL语言对大小写是

A、敏感的

B、只能用小写

C、只能用大写

D、不敏感

21、在EDA工具中,能完成在目标系统器件上布局布线软件称为

A、仿真器

B、综合器

C、适配器

D、下载

22、在VHDL中,用语句()表示clock的下降沿。

A、clock’EVENT

B、clock’EVENT AND clock=’1’

C、clock=’0’

D、clock’EVENT AND clock=’0’

23、下列语句中,不属于并行语句的是

A、进程语句

B、CASE语句

C、元件例化语句

D、WHEN…ELSE…语句

24、下列标识符中,是不合法的标识符。

A、PP0

B、END

C、Not_Ack

D、sig

25、下列那个流程是正确的基于EDA软件的FPGA / CPLD设计流程

A、原理图/HDL文本输入→适配→综合→功能仿真→编程下载→硬件测试

B、原理图/HDL文本输入→功能仿真→综合→适配→编程下载→硬件测试

C、原理图/HDL文本输入→功能仿真→综合→编程下载→→适配硬件测试

D、原理图/HDL文本输入→功能仿真→适配→编程下载→综合→硬件测试

26、VHDL语言中,以下哪一项定义不正确

A、CONSTANT SIZE:INTERGER;

B、SIGNAL temp:STD_LOGIC;

C、SIGNAL s0,s1,s2:STD_LOGIC;

D、VARIABLE v:STD_LOGIC_VECTOR(3 DOWNTO 0);

27、以下哪一项不属于VHDL中的数据类型

A、CONSTANT

B、STD_LOGIC

C、BOOLEAN

D、INTEGER

28、以下哪一项不属于VHDL程序必须包含的结构

A、库使用说明

B、结构体说明

C、实体说明

D、配置说明

29、在电路仿真软件中,可以人为设置器件的隐含故障,以下哪一项是不能人为设置的

A、开路

B、电磁干扰

C、短路

D、漏电

二、填空题

1、Quartus II软件是______公司推出的新一代CPLD/FPGA开发软件。

2、VHDL语言中,对变量赋值使用“_____”操作符,对信号赋值使用“_____”操作符。

3、CPLD器件中至少包含了三种结构:可编程逻辑宏单元,可编程I/O单元,可编程内部连线。

4、常见的可编程元件中,非易失性元件为______和______,易失性元件为______。

5、以_____为后缀名的图形设计文件往往用于工程的顶层文件,而其最基本的底层语言描述文件的后缀名则为_____。

6、可编程逻辑器件从PROM、PLA、________、_______、EPLD到CPLD和FPGA的过程。

7、VHDL数据类型包括:_________________、_______________、布尔类型、整数类型。

8、CPLD内部结构由宏单元组成的逻辑阵列快(LAB)、________________、

________________。

9、Protel99 SE 的功能模块包括电路原理图(Schematic)设计、_______________、

_________________、可编程逻辑器件(PLD)设计、电路仿真(AdvSim)等。

10、基于EDA软件的FPGA / CPLD设计流程:原理图/HDL文本输入→___________→综合

→适配→____________→硬件测试。

三、名词解释

1、GAL

2、FPGA

3、VHDL

4、乘积项

5、VHDL

6、阻焊

7、敏感表8、过孔9、频率分辨率

四、简答题

1、ROM与RAM之间的差别?

2、结构体的作用是什么?

3、实体端口IN/OUT与BUFFER的不同之处?

4、CPLD和FPGA各有什么特点?

5、试讨论PROM、PLA、PAL、CPLD这四种PLD器件其与或阵列结构哪一部分是可以由用户编程决定的?

6、请解释EPM7128SLC84—15中

7、S、LC、12

8、84、15所分别代表的含义。

7、请画出使用Protel99 SE进行印刷电路板设计的流程。

8、CPLD与FPGA在使用上各有什么特点?

9、简述用SystemView进行系统仿真的大致步骤。

10、数据类型STD_LOGIC的定义如下所示:

TYPE STD_LOGIC IS(‘U’,‘X’,‘0’,‘1’,‘Z’,‘W’,‘L’,‘H’,‘-’);

请在括号中任选5个解释其值的含义。(例如:‘U’——未初始化的)

11、VHDL语言中的信号与变量使用时有哪些主要区别?

12、在EWB中,什么是最坏情况分析?请举出最坏情况分析的排序函数中的任意2个。

13、解释在电路系统仿真分析中,什么是蒙特卡罗分析以及使用蒙特卡罗分析的意义。

14、在PROTRL 99 SE中,如果在调用某个元器件时,屏幕提示该元器件不存在,可能的原因是什么?应如何解决?

15、试描述进行一下电子琴设计的流程。

五、判断题

1、对于如下信号

SIGNAL a: STD_LOGIC;

SIGNAL b: STD_LOGIC;

SIGNAL c: STD_LOGIC_VECTOR (1 DOWNTO 0);

SIGNAL d: STD_LOGIC_VECTOR (3 DOWNTO 0);

SIGNAL e: STD_LOGIC_VECTOR (7 DOWNTO 0);

请判断下列并置运算的是否正确,若不正确请写出原因。

⑴c<=a&b ⑵d<=a&c ⑶e<=a&b&d

2、library ieee;

use ieee.std_logic_1164.all;

use ieee.std_logic_unsigned.all;

entity test1 is

port(clk,ena: in std_logic;

output: out std_logic);

end test1;

architecture beha of test1 is

begin

process(clk)

begin

if ena = '1' then

if clk'event and clk = '1' then

output <= '1';

end if;

else

output <= '0';

end if;

end process;

end beha;

3、判断下面的程序编写是否正确,若不正确请写出原因。

library ieee;

use ieee.std_logic_1164.all;

use ieee.std_logic_unsigned.all;

entity test1 is

port(clk,ena: in std_logic;

output: out std_logic);

end test1;

architecture beha of test1 is

begin

process(clk)

begin

if clk'event and clk = '1' then

begin

if clk'event and clk = '1' then

if ena = '1' then

output <= '1';

else

output <= '0';

end if;

end process;

end beha;

4、library ieee;

use ieee.std_logic_1164.all;

use ieee.std_logic_unsigned.all;

entity ent2 is

port(clk,in1: in std_logic;

output: out integer range 0 to 7);

end ent2;

architecture beha of ent2 is

begin

process(clk)

variable counter: integer range 0 to 7;

begin

if clk'event and clk = '1' then

counter := counter + 1;

end if;

end process;

process(in1,counter)

begin

if in1 = '1' then

output <= counter;

else

output <= 0;

end if;

end process;

end beha;

六、错误分析题(根据错误提示,找出源代码中的错误并改正)

图2 源代码

五、程序设计

1、图3是用EWB 绘制的电路图,说出此电路的作用,并写出真值表。

2、请解释下列程序的作用 library ieee;

use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity GAME is port(

D,loc : in std_logic; Q : out std_logic ); end GAME;

architecture behav of GAME is begin process(loc) begin Q <= '0' ;

图3 EWB

if loc='1' then

Q <= D ;

end if;

end process;

end behav;

3、用VHDL设计一个4位全加器。

4、用VHDL语言描述一个3-8译码器。

其真值表如下:

表1 3-8译码器真值表

八、综合设计题

1、用VHDL编程自行设计一款计数器芯片。

2、用1设计的芯片导出成元件使用,设计一个原理图,并说明其作用。

3、绘制仿真波形并分析仿真结果。

EDA技术试验问答题答案(基本包含)

第一章 1-1 EDA技术与ASIC设计和FPGA开发有什么关系?FPGA/CPLD在ASIC设计中有什么用途? 答:利用EDA技术进行电子系统设计的最后目标是完成专用集成电路ASIC的设计和实现;FPGA和CPLD是实现这一途径的主流器件。FPGA和CPLD通常也被称为可编程专用IC,或可编程ASIC。FPGA和CPLD的应用是EDA技术有机融合软硬件电子设计技术、SoC(片上系统)和ASIC设计,以及对自动设计与自动实现最典型的诠释。FPGA/CPLD在ASIC设计中,属于可编程ASIC的逻辑器件;使设计效率大为提高,上市的时间大为缩短。 1-2与软件描述语言相比,VHDL有什么特点? P6 答:编译器将软件程序翻译成基于某种特定CPU的机器代码,这种代码仅限于这种CPU而不能移植,并且机器代码不代表硬件结构,更不能改变CPU的硬件结构,只能被动地为其特定的硬件电路结构所利用。综合器将VHDL程序转化的目标是底层的电路结构网表文件,这种满足VHDL设计程序功能描述的电路结构,不依赖于任何特定硬件环境;具有相对独立性。综合器在将VHDL(硬件描述语言)表达的电路功能转化成具体的电路结构网表过程中,具有明显的能动性和创造性,它不是机械的一一对应式的“翻译”,而是根据设计库、工艺库以及预先设置的各类约束条件,选择最优的方式完成电路结构的设计。 l-3什么是综合?有哪些类型?综合在电子设计自动化中的地位是什么? P5 什么是综合? 答:在电子设计领域中综合的概念可以表示为:将用行为和功能层次表达的电子系统转换为低层次的便于具体实现的模块组合装配的过程。 有哪些类型?答:(1)从自然语言转换到VHDL语言算法表示,即自然语言综合。(2)从算法表示转换到寄存器传输级(RegisterTransport Level,RTL),即从行为域到结构域的综合,即行为综合。(3)从RTL级表示转换到逻辑门(包括触发器)的表示,即逻辑综合。(4)从逻辑门表示转换到版图表示(ASIC设计),或转换到FPGA的配置网表文件,可称为版图综合或结构综合。 综合在电子设计自动化中的地位是什么?答:是核心地位(见图1-3)。综合器具有更复杂的工作环境,综合器在接受VHDL程序并准备对其综合前,必须获得与最终实现设计电路硬件特征相关的工艺库信息,以及获得优化综合的诸多约束条件信息;根据工艺库和约束条件信息,将VHDL程序转化成电路实现的相关信息。 1-4在EDA技术中,自顶向下的设计方法的重要意义是什么? P7~10 答:在EDA技术应用中,自顶向下的设计方法,就是在整个设计流程中各设计环节逐步求精的过程。 1-5 IP在EDA技术的应用和发展中的意义是什么? P11~12 答:IP核具有规范的接口协议,良好的可移植与可测试性,为系统开发提供了可靠的保证。 1-6 叙述EDA的FPGA/CPLD设计流程。P13~16 答:1.设计输入(原理图/HDL文本编辑);2.综合;3.适配;4.时序仿真与功能仿真;5.编程下载;6.硬件测试。

EDA期末考试考卷及答案

(A卷) 赣南师范学院 2010—2011学年第一学期期末考试试卷(A卷)(闭卷)年级 2008 专业电子科学与技术(本)课程名称 EDA技术基础 2、学生答题前将密封线外的内容填写清楚,答题不得超出密封线; 3、答题请用蓝、黑钢笔或圆珠笔。 一、单项选择题(30分,每题2分) 1.以下关于适配描述错误的是 B A.适配器的功能是将综合器产生的网表文件配置于指定的目标器件中,使之产生最终的下载文件 B.适配所选定的目标器件可以不属于原综合器指定的目标器件系列 C.适配完成后可以利用适配所产生的仿真文件作精确的时序仿真 D.通常,EDAL软件中的综合器可由专业的第三方EDA公司提供,而适配器则需由FPGA/CPLD供应商提供 2.VHDL语言是一种结构化设计语言;一个设计实体(电路模块)包括实体与结构体两部分,结构体描述 D 。 A.器件外部特性B.器件的综合约束 C.器件外部特性与内部功能D.器件的内部功能 3.下列标识符中, B 是不合法的标识符。 A.State0 B.9moon C.Not_Ack_0 D.signall 4.以下工具中属于FPGA/CPLD集成化开发工具的是 D A.ModelSim B.Synplify Pro C.MATLAB D.QuartusII 5.进程中的变量赋值语句,其变量更新是 A 。 A.立即完成B.按顺序完成 C.在进程的最后完成D.都不对 6.以下关于CASE语句描述中错误的是 A A.CASE语句执行中可以不必选中所列条件名的一条 B.除非所有条件句的选择值能完整覆盖CASE语句中表达式的取值,否则最末一个条件句的选择必须加上最后一句“WHEN OTHERS=><顺序语句>” C.CASE语句中的选择值只能出现一次 D.WHEN条件句中的选择值或标识符所代表的值必须在表达式的取值范围 7.以下哪个程序包是数字系统设计中最重要最常用的程序包 B A.STD_LOGIC_ARITH B.STD_LOGIC_1164 C.STD_LOGIC_UNSIGNED D.STD_LOGIC_SIGNED 8.基于EDA软件的FPGA / CPLD设计流程为:原理图/HDL文本输入→A →综合→适配→时序仿真→编程下载→硬件测试。 A.功能仿真B.逻辑综合C.配置D.引脚锁定 9.不完整的IF语句,其综合结果可实现 D A.三态控制电路B.条件相或的逻辑电路 C.双向控制电路D.时序逻辑电路 10.下列语句中,属于并行语句的是A A.进程语句B.IF语句C.CASE语句D.FOR语句11.综合是EDA设计流程的关键步骤,综合就是把抽象设计层次中的一种表示转化成另一种表示的过程;在下面对综合的描述中, C 是错误的。 A.综合就是将电路的高级语言转化成低级的,可与FPGA / CPLD的基本结构相映射的网表文件 B.综合可理解为,将软件描述与给定的硬件结构用电路网表文件表示的映射过程,并且这种映射关系不是唯一的 C.综合是纯软件的转换过程,与器件硬件结构无关 D.为实现系统的速度、面积、性能的要求,需要对综合加以约束,称为综合约束 12.CPLD的可编程是主要基于什么结构 D 。 A.查找表(LUT)B.ROM可编程 C.PAL可编程D.与或阵列可编程 13.以下器件中属于Altera 公司生产的是 B A.ispLSI系列器件B.MAX系列器件 C.XC9500系列器件D.Virtex系列器件 14.在VHDL语言中,下列对时钟边沿检测描述中,错误的是 D A.if clk'event and clk = '1' then B.if clk'stable and not clk = '1' then C.if rising_edge(clk) then D.if not clk'stable and clk = '1' then 15.以下关于状态机的描述中正确的是 B A.Moore型状态机其输出是当前状态和所有输入的函数 B.与Moore型状态机相比,Mealy型的输出变化要领先一个时钟周期C.Mealy型状态机其输出是当前状态的函数

数电期末模拟题及答案

《数字电子技术》模拟题一 一、单项选择题(2×10分) 1.下列等式成立的是( ) A 、 A ⊕1=A B 、 A ⊙0=A C 、A+AB=A D 、A+AB=B 2.函数F=(A+B+C+D)(A+B+C+D)(A+C+D)的标准与或表达式是( ) A 、F=∑m(1,3,4,7,12) B 、F=∑m(0,4,7,12) C 、F=∑m(0,4,7,5,6,8,9,10,12,13,14,15) D 、F=∑m(1,2,3,5,6,8,9,10,11,13,14,15) 3.属于时序逻辑电路的是( )。 A 、寄存器 B 、ROM C 、加法器 D 、编码器 4.同步时序电路和异步时序电路比较,其差异在于后者( ) A 、没有触发器 B 、没有统一的时钟脉冲控制 C 、没有稳定状态 D 、输出只与内部状态有关,与输入无关 5.将容量为256×4的RAM 扩展成1K ×8的RAM ,需( )片256×4的RAM 。 A 、 16 B 、2 C 、4 D 、8 6.在下图所示电路中,能完成01=+n Q 逻辑功能的电路有( ) 。 A 、 B 、 C 、 D 、 7.函数F=A C+AB+B C ,无冒险的组合为( )。 A 、 B=C=1 B 、 A=0,B=0 C 、 A=1,C=0 D 、 B=C=O 8.存储器RAM 在运行时具有( )。 A 、读功能 B 、写功能 C 、读/写功能 D 、 无读/写功能 9.触发器的状态转换图如下,则它是: ( ) A 、T 触发器 B 、RS 触发器 C 、JK 触发器 D 、D 触发器 10.将三角波变换为矩形波,需选用 ( ) A 、多谐振荡器 B 、施密特触发器 C 、双稳态触发器 D 、单稳态触发器 二、判断题(1×10分) ( )1、在二进制与十六进制的转换中,有下列关系: (1001110111110001)B =(9DF1)H ( )2、8421码和8421BCD 码都是四位二进制代码。 ( )3、二进制数1001和二进制代码1001都表示十进制数9。 ( )4、TTL 与非门输入采用多发射极三极管,其目的是提高电路的开关速度。 ( )5、OC 与非门的输出端可以并联运行,实现“线与”关系,即L=L 1+L 2 ( )6、CMOS 门电路中输入端悬空作逻辑0使用。 ( )7、数字电路中最基本的运算电路是加法器。 ( )8、要改变触发器的状态,必须有CP 脉冲的配合。

EDA期末试卷及答案(2020年九月整理).doc

EDA期末试卷 一、填空题 1.一般把EDA技术的发展分为MOS时代、CMOS 代和ASIC 三个阶段。 2.EDA设计流程包括设计输入、设计实现、实际设计检验和下载编程四个步骤。 3.EDA设计输入主要包括图形输入、HDL文本输入和状态机输入。 4.时序仿真是在设计输入完成之后,选择具体器件并完成布局、布线之后进行的时序关系仿真,因此又称为功能仿真。5.VHDL的数据对象包括变量、常量和信号,它们是用来存放各种类型数据的容器。 6.图形文件设计结束后一定要通过仿真,检查设计文件是否正确。 7.以EDA方式设计实现的电路设计文件,最终可以编程下载 到FPGA 和CPLD 芯片中,完成硬件设计和验证。 8.MAX+PLUS的文本文件类型是(后缀名).VHD 。9.在PC上利用VHDL进行项目设计,不允许在根目 录下进行,必须在根目录为设计建立一个工程目录(即文件夹)。

10.VHDL源程序的文件名应与实体名相同,否则无法通过编译。 二、选择题:。 11.在EDA工具中,能完成在目标系统器件上布局布线软件称为(C )A.仿真器 B.综合 器 C.适配器 D.下载器12.在执行MAX+PLUSⅡ的(D )命令,可以精确分析设计电路输入与输出波形间的延时量。 A .Create default symbol B. Simulator C. Compiler D. Timing Analyzer 13.VHDL常用的库是(A ) A. IEEE B.STD C. WORK D. PACKAGE 14.下面既是并行语句又是串行语句的是( C ) A.变量赋值 B.信号赋值 C.PROCESS语 句 D.WHEN…ELSE语句 15.在VHDL中,用语句(D )表示clock的下降沿。

数字电子技术期末试题库

【数字电子技术】【试题库】 一、填空题 1.电子电路中的信号可分为两大类,即模拟信号和。 2.数字信号是时间上和上都不连续的信号。 3.十进制数176转换成二进制数为。 4.二进制数11010011转换成十进制数为。 5.所谓二-十进制编码,就是用若干位二进制码元按一定的规律排列起来表示十进制数的过程,也称为码。 6.目前,国际最通用的处理字母、专用符号和文字的二进制代码就是美国标准信息交换码,即码。 ?+?=。 7.二进制数的逻辑运算0111 8. 二进制数的逻辑运算11=。 ⊕=。 9. 二进制数的逻辑运算11 +?=。 10.利用逻辑代数公式,对右式进行化简,A A B ++?=。 11.利用逻辑代数公式,对右式进行化简,A B A B 12.逻辑代数的三条重要规则分别是代入规则、反演规则和。 13.由n个逻辑变量组成的不同最小项个数为个。 14.由n个变量组成的“与或”逻辑表达式,若其中每一项均是关于n个逻辑变量的最小项,则称这一表达式为。 15.利用卡诺图求解最简逻辑表达式时,需要画方格圈,其中有三条要求:将2n个值为1的方格划为一个方格圈,方格圈的数量应(越少/越多)越好,方格圈所含的方格数应(越少/越多)越好。 16.三极管作为开关元件,通常工作在截止区和。 17.集成门电路主要有TTL门电路和。 18.三态门电路的输出有高电平、低电平和共3种状态。 19.TTL集成门电路是由半导体构成的,由于它工作速度快,带负载和抗干扰能力强,因而在数字电路中应该广泛。 20.根据逻辑功能的不同特点,数字逻辑电路可以分为两大类:组合逻辑电路和。 21.在组合逻辑电路中,当输入信号改变状态时,输出端可能出现干扰脉冲,从而导致逻辑电路产生错误输出的现象,称为。 22.触发器有两种稳定状态,即0状态和。 23.RS触发器由两个门电路首尾相连构成。 24.为了避免基本RS触发器输出存在不确定的情况,对其输入端设置了相应的约束条件是。

四川大学期末考试试题(A卷).doc

四川大学期末考试试题(A卷) (2013——2014 学年第一学期) 课程号:303066030课序号:课程名称:计算机基础及C程序设计语言 任课教师:刘亚梅刘洋任瑞玲曾晓东余勤罗伟王茂宁王忠邓丽华成绩: 适用专业年级:2012级学生人数:印题份数:学号:姓名: 考试须知 四川大学学生参加由学校组织或由学校承办的各级各类考试,必须严格执行《四川大学考试工作管理办法》和《四川大学考场规则》。有考试违纪作弊行为的,一律按照《四川大学学生考试违纪作弊处罚条例》进行处理。 四川大学各级各类考试的监考人员,必须严格执行《四川大学考试工作管理办法》、《四川大学考场规则》和《四川大学监考人员职责》。有违反学校有关规定的,严格按照《四川大学教学事故认定及处理办法》进行处理。 一、单项选择题(每题1.5分,共45分)(注:本题及以下各题均以VC++6.0为软件编程平台) 1.一个C程序总是从_______开始执行的。 A)main函数B)程序的第一行 C)程序的第一个函数D)不固定位置 2.以下对C语言的描述正确的是。 A)函数允许嵌套定义B)编译时不检查语法 C)用户所定义的标识符必须以字母开头D)转义字符以“\”开头 3.下列C语言中运算对象必须是整型的运算符是。 A) %= B) && C) = D) *= 4.若有以下程序段:int c1, c2=3, c3=2; c1=(float)c2/c3;则执行后,c1、c2的值分别是。 A)0,3.0 B) 1,3.0 C) 0,3 D) 1,3 5.下列变量定义中合法的是。 A)short_a=0xda; B)double b=1+5e2.5; C)int a=‘A’; D)float 2_and=1-e-3; 6.若变量已正确定义并赋值,符合C语言语法的表达式是。 A)++(a+1) B)a=7+b/c=a++ C)a=a+7=c+b D)a=’\0’ 7.设int a=1,b=2,c=3,m=4,n=5,p=3;,则执行表达式(a=m10);,则a和b的值分别是。 A)10和1 B)10和0 C)11和0 D)11和1 11.以下4个选项中,不能 ..看作一条语句的是。 A)if (b= =0) m=1;n=2; B)a=0,b=0,c=0; C)if (b==0){m=1;n=2;} D)if (a>0); 注:1试题字迹务必清晰,书写工整。本题8 页,本页为第 1 页

EDA技术期末试卷含答案资料

精品文档 一、单项选择题(30分)9.嵌套使用IF语句,其综合结果可实现 A 。A.带优先级且条件相与的逻辑电路1.以下描述错误的是 C B.条 件相或的逻辑电路 C.三态控制电路是A.QuartusIIAltera提供的FPGA/CPLD集成开发环境D.双向控制电路 10.在VHDLAlteraB.是世界上最大的可编程逻辑器件供应商之一语言中,下列对时钟边沿检测描述中,错误的是 D 。 A.if clk'event and clk = ‘1' then B.if falling_edge(clk) then 前一代C.MAX+plusII是AlteraFPGA/CPLD集成开发环境QuartusII的更 C.if clk'event and clk = ‘0' then 新换代新产品D.if clk'stable and not clk = ‘1' then 11.下列那个流程是正确的基于.DQuartusII完全支持VHDL、Verilog的设计流程EDA软件的FPGA / CPLD设计流程 B A.原理图/HDL文本输入→适配→综合→功能仿真→编程下载→硬件测试开发工具中的专用综合器的是2.以下工具中属于FPGA/CPLD B B.原理图/HDL文本输入→功能仿真→综合→适配→编程下载→硬件测试 C .Active HDL D.QuartusII Leonardo Spectrum .AModelSim B.C.原理图/HDL文本输入→功能仿真→综合→编程下载→→适配硬件测试;.3以下器件中属于Xilinx 公司生产的是 C /HDL文本输入→功能仿真→适配→编程下载→综合→硬件测试 D.BMAX系列器件.原理图A.ispLSI系列器件 )语句的语句结构及语法规则语言中,下列对进程(PROCESS系列器件 C.XC9500系列器件D.FLEX 12.在VHDL 。A 的描述中,正确的是以下关于信号和变量的描述中错误的是4. B 为一无限循环语句;敏感信号发生更新时启动进程,执行完..信号是描述硬件系统的基本数据对象,它的性质类似于连接线PROCESSAA .信号的定义范围是结构体、进程B 成后,等待下一次进程启动C.除了没有方向说明以外,信号与实体的端口概念是一致的B.敏感信号参数表中,应列出进程中使用的所有输入信号CD.在进程中不能将变量列入敏感信号列表中.进程由说明部分、结构体部分、和敏感信号参数表三部分组成D.当前进程中声明的变量也可用于其他进程以下关于状态机的描述中正确的是5. B B MooreA.型状态机其输出是当前状态和所有输入的函数13.下列语句中,不属于并行语句的是 语句B.CASE 型的输出变化要领先一个时钟周期型状态机相比,.与BMooreMealy A.进程语句 …语句…ELSE D.WHEN .元件例化语句MealyC.型状态机其输出是当前状态的函数 C 设计现行工作VHDL语言共支持四种常用库,其中哪种库是用户的14.以上都不对D .VHDL B 下列标识符中,.库是不合法的标识符。6 END BPP0 A...D .CNot_Ack sig D

EDA技术期末试卷(含答案)

班级 学号 姓名 密 封 线 内 不 得 答 题 一、单项选择题(30分) 1.以下描述错误的是 C A .QuartusII 是Altera 提供的FPGA/CPLD 集成开发环境 B .Altera 是世界上最大的可编程逻辑器件供应商之一 C .MAX+plusII 是Altera 前一代FPGA/CPL D 集成开发环境QuartusII 的更新换代新产品 D .QuartusII 完全支持VHDL 、Verilog 的设计流程 2.以下工具中属于FPGA/CPLD 开发工具中的专用综合器的是 B A .ModelSim B .Leonardo Spectrum C .Active HDL D .QuartusII 3.以下器件中属于Xilinx 公司生产的是 C A .ispLSI 系列器件 B .MAX 系列器件 C .XC9500系列器件 D .FLEX 系列器件 4.以下关于信号和变量的描述中错误的是 B A .信号是描述硬件系统的基本数据对象,它的性质类似于连接线 B .信号的定义范围是结构体、进程 C .除了没有方向说明以外,信号与实体的端口概念是一致的 D .在进程中不能将变量列入敏感信号列表中 5.以下关于状态机的描述中正确的是 B A .Moore 型状态机其输出是当前状态和所有输入的函数 B .与Moore 型状态机相比,Mealy 型的输出变化要领先一个时钟周期 C .Mealy 型状态机其输出是当前状态的函数 D .以上都不对 6.下列标识符中, B 是不合法的标识符。 A .PP0 B .END C .Not_Ack D .sig 7.大规模可编程器件主要有FPGA 、CPLD 两类,下列对CPLD 结构与工作原理的描述中,正确的是 C 。 A .CPLD 即是现场可编程逻辑器件的英文简称 B .CPLD 是基于查找表结构的可编程逻辑器件 C .早期的CPL D 是从GAL 的结构扩展而来 D .在Altera 公司生产的器件中,FLEX10K 系列属CPLD 结构 8.综合是EDA 设计流程的关键步骤,在下面对综合的描述中, D 是错误的。 A .综合就是把抽象设计层次中的一种表示转化成另一种表示的过程 B .综合就是将电路的高级语言转化成低级的,可与FPGA / CPLD 的基本结构相映射的网表文件 C .为实现系统的速度、面积、性能的要求,需要对综合加以约束,称为综合约束 D .综合可理解为,将软件描述与给定的硬件结构用电路网表文件表示的映射过程,并且这种映射关系是唯一的(即综合结果是唯一的) 9.嵌套使用IF 语句,其综合结果可实现 A 。 A .带优先级且条件相与的逻辑电路 B .条件相或的逻辑电路 C .三态控制电路 D .双向控制电路 10.在VHDL 语言中,下列对时钟边沿检测描述中,错误的是 D 。 A .if clk'event and clk = ‘1’ then B .if falling_edge(clk) then C .if clk’event and clk = ‘0’ then D .if clk’stable and not clk = ‘1’ then 11.下列那个流程是正确的基于EDA 软件的FPGA / CPLD 设计流程 B A .原理图/HDL 文本输入→适配→综合→功能仿真→编程下载→硬件测试 B .原理图/HDL 文本输入→功能仿真→综合→适配→编程下载→硬件测试 C .原理图/HDL 文本输入→功能仿真→综合→编程下载→→适配硬件测试; D .原理图/HDL 文本输入→功能仿真→适配→编程下载→综合→硬件测试 12.在VHDL 语言中,下列对进程(PROCESS )语句的语句结构及语法规则的描述中,正确的是 A 。 A .PROCESS 为一无限循环语句;敏感信号发生更新时启动进程,执行完成后,等待下一次进程启动 B .敏感信号参数表中,应列出进程中使用的所有输入信号 C .进程由说明部分、结构体部分、和敏感信号参数表三部分组成 D .当前进程中声明的变量也可用于其他进程 13.下列语句中,不属于并行语句的是 B A .进程语句 B .CAS E 语句 C .元件例化语句 D .WHEN …ELSE …语句 14.VHDL 语言共支持四种常用库,其中哪种库是用户的VHDL 设计现行工作 库 D A .IEEE 库 B .VITAL 库 C .STD 库 D .WORK 库 15.VHDL 语言是一种结构化设计语言;一个设计实体(电路模块)包括实体与结构体两部分,结构体描述 D 。 A .器件外部特性 B .器件的综合约束 C .器件外部特性与内部功能 D .器件的内部功能 二、EDA 名词解释,写出下列缩写的中文含义(10分) 1.CPLD :复杂可编程逻辑器件 2.ASIC :专用集成电路 3.LUT :查找表 4.EDA :电子设计自动化 5.ROM :只读存储器 三、程序填空题(20分) 以下是一个模为24(0~23)的8421BCD 码加法计数器VHDL 描述,请补充完整

《数字电路》期末模拟试题及答案 3

1. 当PN 结外加正向电压时,PN 结中的多子______形成较大的正向电流。 2. NPN 型晶体三极管工作在饱和状态时,其发射结和集电结的外加电压分别处于___ ___偏置和_______偏置。 3. 逻辑变量的异或表达式为:_____________________B A =⊕。 4. 二进制数A=1011010;B=10111,则A -B=_______。 5. 组合电路没有______功能,因此,它是由______组成。 6. 同步RS 触发器的特性方程为:Q n+1 =______,其约束方程为:______。 7. 将BCD 码翻译成十个对应输出信号的电路称为________,它有___个输入 端,____输出端。 8. 下图所示电路中,Y 1 Y 3 =______。 1. 四个触发器组成的环行计数器最多有____个有效状态。 A.4 B. 6 C. 8 D. 16 2. 逻辑函数D C B A F +=,其对偶函数F * 为________。 A .()()D C B A ++ B. ()()D C B A ++ C. ()()D C B A ++ 3. 用8421码表示的十进制数65,可以写成______。 A .65 B. [1000001]BCD C. [01100101]BCD D. [1000001]2 4. 用卡诺图化简逻辑函数时,若每个方格群尽可能选大,则在化简后的最简表达式 中 。 A .与项的个数少 B . 每个与项中含有的变量个数少 C . 化简结果具有唯一性 A 1 A B 3

5. 已知某电路的真值表如下,该电路的逻辑表达式为 。 A .C Y = B . AB C Y = C .C AB Y += D .C C B Y += 化简下列逻辑函数,写出最简与或表达式: 1. 证明等式:AB B A B A B A +?=+ 2. Y 2=Σm (0,1,2,3,4,5,8,10,11,12) 3. Y 3=ABC C AB C B A C B A + ++? 分析设计题: 1.双四选一数据选择器如图所示,其功能表达式如下。现要实现八选一数据选择器的功能(地址信号为 A 2A 1A 0,数据输入端信号为 D 7 ~ D 0 ) ,请画出电路连接图。 1A A A A D Y =(2D Y =( 2.TTL

《EDA》试题B答案

2007 至2008学年度第二学期期末考核 《EDA》试题(开卷) 卷号:B 时间:120 分钟 2008 年6 月 专业:电子信息工程学号:姓名: 一填空题(20分) 1、VHDL 2、DEVICE.LIB SYMBOLS.LIB 3、实际零件焊接到电路板时所指示的外观和焊点的位置 4、电子设计自动化电子CAD技术 5、A L T E R A,X I L I N X 6、WAIT 7、电路连接 8、SRAM-BASE 9、2.54mm 300mil 10、元件外观和元件引线端子的图形 二名词解释(20分) 1 PLD/FPGA PLD是可编程逻辑器件(Programable Logic Device)的简称,FPGA是现场可编程门阵列(Field Programable Gate Array)的简称,两者的功能基本相同,只是实现原理略有不同,所以我们有时可以忽略这两者的区别,统称为可编程逻辑器件或PLD/FPGA。 2.过孔 当需要连接两个层面上的铜膜走线时就需要过孔(Via),过孔 又称为贯孔、沉铜孔和金属化孔。 过孔分为穿透式(Through)、半隐藏式(Blind)和隐藏式(Buried) 3.铜膜线 就是连接两个焊盘的导线,称为Track,一般铜膜线走线在不 同层面取不同的走向,例如顶层走水平线,则底层走垂直线。顶 层和底层走线之间的连接采用过孔(Via)连接。 4 PROM、PAL和PLA PROM:与阵列固定,或阵列可编程,一般用作存储器,其输入为存储器的地址,输出为存储器单元的内容。但输入的数目太大时,器件功耗增加,其局限性大。 PLA:与或阵列均可编程,但是其慢速特性和相对PAL、PROM而高得多的价格妨碍了它被广泛使用。PAL:或阵列固定,与阵列可编程,其第二代产品GAL具有了可电擦写、可重复编程、可设置加密的功能。 5 自顶向下的/自下而上的设计方法 自下而上的设计方法,使用该方法进行硬件设计是从选择具体元器件开始,并用这些元器件进行逻辑电路设计,从而完成系统的硬件设计,然后再将各功能模块连接起来,完成整个系统的硬件设计,自顶向下的设计方法就是从系统的总体要求出发,自顶向下分三个层次对系统硬件进行设计。 第一个层次是行为描述第二个层次是数据流描述第三个层次为逻辑综合

(完整版)EDA期末考试题1

1.一个项目的输入输出端口是定义在( A )1-5 ACDCD 6-10 CCACA A. 实体中;. B. 结构体中; C. 任何位置; D. 进程中。 2. MAXPLUS2中编译VHDL源程序时要求( C ) A. 文件名和实体可以不同名; B. 文件名和实体名无关; C. 文件名和实体名要相同; D. 不确定。 3. VHDL语言中变量定义的位置是(D ) A. 实体中中任何位置; B. 实体中特定位置; C. 结构体中任何位置; D. 结构体中特定位置。 4.可以不必声明而直接引用的数据类型是(C ) A. STD_LOGIC ; B. STD_LOGIC_VECTOR; C. BIT; D. ARRAY。 5. MAXPLUS2不支持的输入方式是(D ) A 文本输入;.B. 原理图输入;C. 波形输入;D. 矢量输入。 6.大规模可编程器件主要有FPGA、CPLD两类,下列对FPGA结构与工作原理的描述中,正确的是( C ) A. FPGA全称为复杂可编程逻辑器件; B. FPGA是基于乘积项结构的可编程逻辑器件; C. 基于SRAM的FPGA器件,在每次上电后必须进行一次配置; D. 在Altera公司生产的器件中,MAX7000系列属FPGA结构。 7.下面不属于顺序语句的是( C ) A. IF语句; B. LOOP语句; C. PROCESS语句; D. CASE语句。 8. VHDL语言是一种结构化设计语言;一个设计实体(电路模块)包括实体与结构体两部分,实体体描述的是( A ) A. 器件外部特性; B. 器件的内部功能; C. 器件的综合约束; D. 器件外部特性与内部功能。 9. 进程中的信号赋值语句,其信号更新是( C ) A. 按顺序完成; B. 比变量更快完成; C. 在进程的最后完成; D. 都不对。 10. 嵌套使用IF语句,其综合结果可实现:(A ) A. 带优先级且条件相与的逻辑电路; B. 条件相或的逻辑电路; C. 三态控制电路; D. 双向控制电路。 一、单项选择题:(20分) 1. IP核在EDA技术和开发中具有十分重要的地位;提供用VHDL等硬件描述

数电期末试卷

天津理工大学考试试卷 2013~2014学年度第一学期 《高频电子线路》 期末考试 答案 课程代码: 0562010 试卷编号: 5-A 命题日期: 2013 年 11 月 5 日 答题时限: 120 分钟 考试形式:闭卷笔试 得分统计表: 大题号 总分 一 二 三 四 五 一、单项选择题(从4个备选答案中选择最适合的一项,每小题1分,共10分) 得分 1. 下图所示抽头式并联谐振回路中,接入系数为p ,则把电容C1折合到LC 回路两端后的值为 A 。 A 12C p B 11 2C p C 1pC D 11C p 2. 某丙类高频功率放大器原工作于在欠压状态,现欲调整使它工作在临界状态,可采用办法 B 。 A CC V 增加、 bm V 减小、 p R 减小

B C C V 减小、bm V 增加、p R 增加 C CC V 减小、 bm V 减小、p R 减小 D CC V 增加、 bm V 增加、 p R 增加 3. 给一个振荡器附加AFC 系统,是为了 D 。 A 尽量保持输出电平恒定; B 使振荡器的输出与参考信号完全同步(同频同相); C 使振荡器输出的频率与参考信号频率相等,但初相位相对于参考信号初相位有一定的剩余误差; D 使振荡频率比不加时稳定。 4. 为了保证调幅波的包络能够较好地反映调制信号, C 。 A 集电极被调功率放大器和基极被调功率放大器都应工作在欠压状态 B 它们都应工作在过压状态 C 集电极被调功率放大器应工作在过压状态,另一个则应工作在欠压状态 D 基极被调功率放大器应工作在过压状态,另一个则应工作在欠压状态 5. 下面属于非线性元件特性的是 C 。 A 只有直流电阻,且阻值随静态工作点的改变而改变 B 只有动态电阻,且阻值随静态工作点的改变而改变 C 具有频率变换的作用 D 满足叠加原理 6. 某一调谐放大器,假设输入信号的频率为2MHz 、5MHz 、10MHz ,12MHz ,当谐振回路的谐振频率为10MHz 时,频率为 C 的信号在输出信号中最强。 A 2MHz B 5MHz C 10MHz D 12MHz 7. 若调制信号的频率范围为n F F -1时,用来进行标准调幅,则形成已调波的带宽为 A 。 A n F 2 B ()12F F n - C 12F D ()n f F m 12+ 8. 多级单调谐回路谐振放大器与单级单调谐回路放大器比较,叙述正确的是 C 。

(完整版)EDA技术考试试题B及详细答案

《EDA技术》第二学期期末试题B 卷号:B 时间:120 分钟2008 年6 月 专业:电子信息工程学号:姓名: 一、填空题(20分,每题2分) 当前ASIC制造商都自己开发了HDL语言,但是都不通用,只有美国国防部开发的()语言成为了IEEE. STD_1076标准,并在全世界得到了承认。 载入protel的Schematic中的()和()可满足一般用户需求,两个零件库中含有二极管、三极管、电阻、电容、电感等常用元件。 零件封装是指()。 EDA技术也称(),是在( )技术的基础上发展起来的计算机软件系统。 目前世界上有十几家生产CPLD/FPGA的公司,最大的三家是:(),( ),LATTIC 。 顺序描述语句中,()在MAX-PLUS中不被支持。 VHDL语句中能被赋予一定值的对象称为客体,主要有常数,信号和变量。其中常数对应代表数字电路中的电源和接地等。信号对应物理设计中的()。 FPGA可分为两大类,分别是SRAM-BASE和Anti-Fuse 设计时一般选用()。100mil=()mm,7.62mm=( )mil。 PCB封装元件实际上就是()。 二、名词解释题(20分,每题4分) 1 PLD/FPGA 2.过孔 3.铜膜线 4 PROM、PAL和PLA 5 自顶向下的/自下而上的设计方法 三、选择题(15分,每题3分) 1.下列常用热键具有在元件浮动状态时,编辑元件属性功能的是() PgUp Tab Space bar Esc 2.Design/Options菜单中下列选项不属于开关选项的是:() A.Snap Grid B.Hidden Pins C.Electrical Grid D.Title block 3.下列不属于VHDL基本程序结构是() A..CONFIGURATION定义区 B..ARCHITECTURE定义区 C.USE定义区 D.ENTITY定义区 4.下列关于VHDL中信号说法不正确的是:()

EDA期末考试题大全

附带: 一.问答题 1信号赋值语句在什么情况下作为并行语句?在什么情况下作顺序语句?信号赋值和变量赋值符号分别是什么?两种赋值符号有什么区别? ●信号赋值语句在进程外作并行语句,并发执行,与语句所处的位置无关。信号赋值语句在进程内 或子程序内做顺序语句,按顺序执行,与语句所处的位置有关。 ●信号赋值符号为“<=”变量赋值用“:=”。信号赋值符号用于信号赋值动作,不立即生效。 变量,赋值符号用于变量赋值动作,立即生效。 2进程的敏感信号表指的是什么?简述敏感信号表在进程中的作用? ●进程的“敏感信号表”也称敏感表,是进程的激活条件,可由一个或多个信号组成,各信号 间以“,”号分隔。当敏感信号表中的任一个信号有事件发生,即发生任意变化,此时,进程被激活,进程中的语句将从上到下逐句执行一遍,当最后一条语句执行完毕之后,进程即进入等待挂起状态,直到下一次敏感表中的信号有事件发生,进程再次被激活,如此循环往复。 3什么是库、程序包、子程序、过程调用和函数调用? ●库和程序包用来描述和保存元件、类型说明和子程序等,以便在其它设计中通过其目录可查询、 调用。子程序由过程和函数组成。在子程序调用过程中,过程能返回多个变量,函数只能返回一个变量。若子程序调用的是一个过程,就称为过程调用,若子程序调用的是一个函数,则称为函数调用。过程调用、函数调用都是子程序调用。 二.改错题 1.已知sel为STD_LOGIC_VECTOR(1 DOWNTO 0)类型的信号,而a、b、c、d、q均为STD_LOGIC类型的 信号,请判断下面给出的CASE语句程序片段:

●CASE sel IS ●WHEN“00”=>q<=a; ●WHEN“01”=>q<=b; ●WHEN“10”=>q<=c; ●WHEN“11”=>q<=d; ●END CASE; ●答案:CASE语句缺“WHEN OTHERS”语句。 2.已知data_in1, data_in2为STD_LOGIC_VECTOR(15 DOWNTO 0) 类型的输入端口,data_out为STD_LOGIC_VECTOR(15 DOWNTO 0)类型的输出端口,add_sub为STD_LOGIC类型的输入端口,请判断下面给出的程序片段: ●LIBRARY IEEE; ●USE IEEE.STD_LOGIC_1164.ALL; ●ENTITY add IS ● PORT(data_in1, data_in2:IN INTEGER; ● data_out:OUT INTEGER); ●END add; ●ARCHTECTURE add_arch OF add IS ●CONSTANT a:INTEGER<=2; ●BEGIN ●data_out<=( data_in1+ data_in2) * a; ●END addsub_arch; 答案:常量声明时赋初值的“<=”符号应改用“:=”符号。 3.已知Q为STD_LOGIC类型的输出端口,请判断下面的程序片段: ●ARCHITECTURE test_arch OF test IS ●BEGIN ●SIGNAL B:STD_LOGIC; ●Q<= B; END test_arch 答案:信号SIGNAL的声明语句应该放在BEGIN语句之前。 4.已知A和Q均为BIT类型的信号,请判断下面的程序片段: ●ARCHITECTURE archtest OF test IS ●BEGIN ●CASE A IS ●WHEN ‘0’=>Q<=‘1’; ●WHEN ‘1’=>Q<=‘0’; ●END CASE; ●END archtest; 答案:CASE语句应该存在于进程PROCESS内。 三.程序设计 LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL;

EDA试题库

EDA试题库建设 [70%基础题,20%中档题,10%提高题(试题容量:20套试卷,其中每套试题填空题10空(每空2分),选择题10题(每题2分)),简答题4题(每题5分),分析题2题(每题10分),设计题2题(每题10分)。] 基础题部分 填空题(140空) 1.一般把EDA技术的发展分为(CAD)、(CAE)和(EDA)三个阶段。 2.EDA设计流程包括(设计准备)、(设计输入)、(设计处理)和(器件编程)四个步骤。 3.时序仿真是在设计输入完成之后,选择具体器件并完成布局、布线之后进行的时序关系仿真,因此又称为(功能仿真)。4.VHDL的数据对象包括(变量)、(常量)和(信号),它们是用来存放各种类型数据的容器。 5.图形文件设计结束后一定要通过(仿真),检查设计文件是否正确。 6.以EDA方式设计实现的电路设计文件,最终可以编程下载到(FPGA)或者(CPLD)芯片中,完成硬件设计和验证。7.MAX+PLUS的文本文件类型是(.VHD)。 8.在PC上利用VHDL进行项目设计,不允许在(根目录)下进行,必须在根目录为设计建立一个工程目录。9.VHDL源程序的文件名应与(实体名)相同,否则无法通过编译。 10.常用EDA 的设计输入方式包括(文本输入方式)、(图形输入方式)、(波形输入方式)。 11.在VHDL 程序中,(实体)和(结构体)是两个必须的基本部分。 12.将硬件描述语言转化为硬件电路的重要工具软件称为(HDL 综合器)。 13、VHDL 的数据对象分为(常量)、(变量)和(信号)3 类。 14、VHDL 的操作符包括(算术运算符)和(符号运算符)。 15、常用硬件描述语言有(Verilog HDL)、(AHDL)以及(VHDL)。 16、VHDL基本语句有(顺序语句)、(并行语句)和属性自定义语句。 17、VHDL 同或逻辑操作符是(XNOR)。 18、原理图文件类型后缀名是(.GDF),Verilog HDL语言文本文件类型的后缀名是(.V )。 19、十六进制数16#E#E1对应的十进制数值是(224)。 20、一个完整的VHDL程序应包含三个基本部分,即库文件说明、(程序包应用说明)和(实体和结构体说明)。 21、VHDL 不等于关系运算符是(/= )。 22、STD_LOGIC_1164程序包是(IEEE )库中最常用的程序包。 23.文本输入是指采用(硬件描述语言)进行电路设计的方式。 24.当前最流行的并成为IEEE标准的硬件描述语言包括(vhdl)和(verilog)。 25.采用PLD进行的数字系统设计,是基于(芯片)的设计或称之为(自底向上)的设计。26.硬件描述语言HDL给PLD和数字系统的设计带来了更新的设计方法和理念,产生了目前最常用的并称之为(自顶向下)的设计法。 27.EDA工具大致可以分为(设计输入编辑器)、(仿真器)、(hdl综合器)、(适配器)以及(下载器)等5个模块。 28.将硬件描述语言转化为硬件电路的重要工具软件称为(综合器)。 29.用MAX+plusII输入法设计的文件不能直接保存在(根目录)上,因此设计者在进入设计之前,应当在计算机中建立保存设计文件的(工程)。 30.若在MAX+plusII集成环境下,执行原理图输入设计方法,应选择(block diagram/Schematic)命令方式。

相关文档
相关文档 最新文档