文档库 最新最全的文档下载
当前位置:文档库 › 数字电压表的VHDL设计与实现

数字电压表的VHDL设计与实现

数字电压表的VHDL设计与实现
数字电压表的VHDL设计与实现

单片机课程设计数字电压表

单片机课程设计 ——电压表的设计 学院:信息工程学院 专业:电子信息科学与技术 班级:2011150 学号:201115002 姓名:王冬冬 同组同学:凡俊兴 201115001

目录 1 引言 (1) 2设计原理及要求 (2) 2.1数字电压表的实现原理 (2) 2.2数字电压表的设计要求 (2) 3软件仿真电路设计 (2) 3.1设计思路 (2) 3.2仿真电路图 (3) 3.3设计过程 (3) 3.4 AT89C51的功能介绍 (4) 3.4.1简单概述 (4) 3.4.2主要功能特性 (5) 3.4.3 AT89C51的引脚介绍 (5) 3.5 ADC0809的引脚及功能介绍 (7) 3.5.1芯片概述 (7) 3.5.2 引脚简介 (8) 3.5.3 ADC0809的转换原理 (8) 3.6 74LS373芯片的引脚及功能 (8) 3.6.1芯片概述 (8) 3.6.2引脚介绍 (9) 3.7 LED数码管的控制显示 (9) 3.7.1 LED数码管的模型 (9)

LED数码管模型如图3-6所示。 (9) 3.7.2 LED数码管的接口简介 (9) 4系统软件程序的设计 (9) 4.1 主程序 (10) 4.2 A/D转换子程序 (11) 4.3 中断显示程序 (12) 5使用说明与调试结果 (13) 6总结 (13) 参考文献 (14) 附录1 源程序 (15) 附录2原理电路 (19)

1 引言 在电量的测量中,电压、电流和频率是最基本的三个被测量,其中电压量的测量最为经常。而且随着电子技术的发展,更是经常需要测量高精度的电压,所以数字电压表就成为一种必不可少的测量仪器。数字电压表简称DVM,它是采用数字化测量技术,把连续的模拟量转换成不连续、离散的数字形式并加以显示的仪表。由于数字式仪器具有读数准确方便、精度高、误差小、测量速度快等特而得到广泛应用[1]。 传统的指针式刻度电压表功能单一,进度低,容易引起视差和视觉疲劳,因而不能满足数字化时代的需要。采用单片机的数字电压表,将连续的模拟量如直流电压转换成不连续的离散的数字形式并加以显示,从而精度高、抗干扰能力强,可扩展性强、集成方便,还可与PC实时通信。数字电压表是诸多数字化仪表的核心与基础[2]。以数字电压表为核心,可以扩展成各种通用数字仪表、专用数字仪表及各种非电量的数字化仪表。目前,由各种单片机和A/D转换器构成的数字电压表作全面深入的了解是很有必要的。 最近的几十年来,随着半导体技术、集成电路(IC)和微处理器技术的发展,数字电路和数字化测量技术也有了巨大的进步,从而促使了数字电压表的快速发展,并不断出现新的类型[4]。数字电压表从1952年问世以来,经历了不断改进的过程,从最早采用继电器、电子管和形式发展到了现在的全固态化、集成化(IC 化),另一方面,精度也从0.01%-0.005%。 目前,数字电压表的内部核心部件是A/D转换器,转换的精度很大程度上影响着数字电压表的准确度,因而,以后数字电压表的发展就着眼在高精度和低成本这两个方面[3]。 本文是以简易数字直流电压表的设计为研究内容,本系统主要包括三大模块:转换模块、数据处理模块及显示模块。其中,A/D转换采用ADC0808对输入的模拟信号进行转换,控制核心AT89C51再对转换的结果进行运算处理,最后驱动输出装置LED显示数字电压信号

数字逻辑电路设计及应用

数字逻辑电路设计及应用 C程序设计报告(1) [问题]: 设计一个C程序实现任意十进制数到二进制数的转换,二进制精度为11位。 [思路]: 1.十进制数转二进制数对整数和小数的处理时不一样的。所以设计程序时,也应该对读入 的整数和小数的数据分开处理。(分开的办法可以先直接对浮点数强制类型转换,即可得到整数部分,再用浮点数减整数部分,即可得到小数部分)。 2.对于整数部分,采用的是“除2法”(不知道是不是这个名字……)。即,每次将该数除 以2,得到的余数作为该位的二进制数,商作为下一次的除数,依此类推,直到商为1或0为止。 3.对于小数部分,采用的是“乘2法”(依然不知道是不是这个名字)。即,每次将小数部 分乘2,得到的整数部分即为该位的二进制数,小数部分为下一次的乘数。依此类推,这样做下去是一个无限不循环的小数,所以一般会要求二进制数中小数的精度,本题目要求的是11位。 4.在实际程序设计过程中,我发现了这样一个问题,当小数部分二进制码采用浮点型数据 时,单独输出准确无误,但与整形的整数部分二进制码结合在一起后,最后3位总是不准确的,怀疑是在相加的过程中产生了“大数吃小数”的问题。按照一般思维,此时应提高精度,采用long double型变量,但是我采用的编译器是采用Windows C的运行库(MS C编译器)的MinGW,其对printf函数不支持long double型。无奈之下,我只能把小数部分存为一个11位长的数组,再对其输出。 [流程]: [程序]:

/******************************************************************** /* this is a program to transform decimal nubers to binary nubers. /* Huang Bohao /* 将小数部分用数组形式存储,避免了整数部分与小数部分相加而出现的 /*大数吃小数的情况 ********************************************************************/ #include <> int Integer2Binary(int integer); ,Bina ryInt); for(i = 0; i < 11; i++) printf("%d",BinaryFraction[i]); printf("\n"); } /******************************************************************** /* function name: Integer2Binary /* input parameter: int integer (integer waiting to be transformed) /* output parameter: int output (transformed integer) ********************************************************************/ int Integer2Binary(int integer) { int B,Y,output,flag; //B被除数,Y为余数,output为输出数据,flag为位置标记位

单片机课程设计 数字电压表设计

《单片机原理及应用》课程设计报告书 课题名称数字电压表设计 名姓 学号 专业

指导教师 机电与控制工程学院月年日 1 任务书 电压表是测量仪器中不可缺少的设备,目前广泛应用的是采用专用集成电路实现的数字电压表。本系统以8051单片机为核心,以逐次逼近式A/D转换器ADC0809、LED显示器为主体,设计了一款简易的数字电压表,能够测量0~5V的直流电压,最小分辨率为0.02V。 该设计大体分为以下几个部分,同时,各部分选择使用的主要元器件确定如下: 1、单片机部分。使用常见的8051单片机,同时根据需要设计单片机电路。 2、测量部分。该部分是实验的重点,要求将外部采集的模拟信号转换成数字信号,通过单片机的处理显示在显示器上,该部分决定了数字电压表的精度等主要技术指标。根据需要本设计采用逐次逼近型A∕D转换器ADC0809进行模数转换。 3、键盘显示部分。利用4×6矩阵键盘的一个按键控制量程的转换,3或4位LED显示。其中一位为整数部分,其余位小数部分。 关键词:8051 模数转换LED显示矩阵键盘 2 目录

1 绪论 (1) 2 方案设计与论证 (2) 3 单元电路设计与参数计算 (3) 4 总原理图及参考程序 (8) 5 结论 (14) 6 心得体会 (15) 参考文献16 (7) 3 1.绪论 数字电压表的基本工作原理是利用A/D转换电路将待测的模拟信号转换成数字信号,通过相应换算后将测试结果以数字形式显示出来的一种电压表。较之于一般的模拟电压表,数字电压表具有精度高、测量准确、读数直观、使用方便等优

点。 电压表的数字化测量,关键在于如何把随时连续变化的模拟量转化成数字量,完成这种转换的电路叫模数转换器(A/D)。数字电压表的核心部件就是A/D转换器,由于各种不同的A/D转换原理构成了各种不同类型的DVM。一般说来,A/D 转换的方式可分为两类:积分式和逐次逼近式。 积分式A/D转换器是先用积分器将输入的模拟电压转换成时间或频率,再将其数字化。根据转化的中间量不同,它又分为U-T(电压-时间)式和U-F(电压-频率)式两种。 逐次逼近式A/D转换器分为比较式和斜坡电压式,根据不同的工作原理,比较式又分为逐次比较式及零平衡式等。斜坡电压式又分为线性斜坡式和阶梯斜坡式两种。 在高精度数字电压表中,常采用由积分式和比较式相结合起来的复合式A/D转换器。本设计以8051单片机为核心,以逐次比较型A/D转换器ADC0809、LED 显示器为主体,构造了一款简易的数字电压表,能够测量1路0~5V直流电压,最小分辨率0.02V。 4 2.方案设计与论证 基于单片机的多路数字电压表电路的基本组成如图3.1所示。

数字逻辑和设计基础 期末复习题

1、采用3-8线译码器74LS138和门电路构成的逻辑电路如图所示,请对该电路进行分析,写出输出方程,并化解为最简与-或式。(10分) 1、解:分析此图,可知:F1=0134m m m m +++, F2=4567m m m m +++ 化简过程:由卡诺图及公式化简均可,此处略 化简得:1F A C BC =+(2分) 2F A = 2.已知逻辑函数: F ABC ABC ABC ABC ABC =++++,试用一片4选1数据选择器和门电路实现该逻辑函数,要求采用代数法,写出设计全过程,并画出电路图。 (10分) A 1 ST Y D 0D 1D 2D 3 A 0 ① 写出逻辑函数F 的表达式(2分) ==F A B C AB C ABC A BC ABC A B C AB C C A BC ABC A B C AB A BC ABC =+++++++++++() ② 写出4选1数据选择器输出端逻辑函数Y 的表达式(2分) 100101102103Y A A D A A D A A D A A D =+++ ③令 10A A A ==、B ,比较F 和Y 两式可得: (2分)

01231D C D D D C ==== ④ 根据上式画出的逻辑图。(4分) 五、 画出下列各触发器Q 端的波形:(设Q n = 0)(10 分,每小题5 分) 1、已知JK 触发器输入信号J 和K 、时钟脉冲CP 、异步置位端D R 和D S 的波形如下图 所示,试画出触发器输出端Q 的波形,设初始状态为0。(5分) Q CP J S D D K J 2、下图由边沿D 触发器构成的触发器电路,设其初始状态为0。输入信号如右 图所示,试画出Q 端的输出波形。(5分) CP Q D R D

数字逻辑设计习题第4章

第4章 组合逻辑电路 4—1 分析下图所示电路的逻辑功能,写出输出的逻辑表达式,列出真值表,说明其逻辑功能。 4—2 逻辑电路如下图所示: 1、写出S 、C 、P 、L 的函数表达式; 2、当取S 和C 作为电路的输出时,此电路的逻辑功能是什么? 4—3 下图是由三个全加器构成的电路,试写出其输出1F ,2F ,3F ,4F 的表达式。 123 B C Z

P和4—4 下图是由3线/8线译码器74LS138和与非门构成的电路,试写出 1 P的表达式,列出真值表,说明其逻辑功能。 2 Array 4—5使用74LS138 译码器及少量门电路对三台设备状态进行监控,由不同指示灯进行指示。当设备正常工作时,指示灯绿灯亮;当有一台设备出故障时,指示灯红灯亮;当有两台设备出故障时,指示灯黄灯亮;当有三台设备 出故障时,指示灯红灯和黄灯都亮。

4—6 下图4.6是由八选一数据选择器构成的电路,试写出当1G 0G 为各种不同的取值时的输出Y 的表达式。 4—7仿照全加器设计一个全减器,被减数为A ,减数为B ,低位来的借位为C ,差为D ,向上借一位为J 。 要求:1.写出真值表,写出D 与J 的表达式;2.用译码器74LS138和必要的基本门电路实现此电路;3.用双四选一数据选择器实现。 G A

4—8 设计一组合逻辑电路,输入为四位二进制码3B 2B 1B 0B ,当 3B 2B 1B 0B 是BCD8421码时输出1=Y ;否则0=Y 。列出真值表,写出 与或非表达式,用集电极开路门实现。 4—9 设计一个多功能组合数字电路,实现下表所示逻辑功能。表中1C 0C 为功能选择输入信号;A ,B 为输入变量;F 为输出。 1.列出真值表,写出F 的表达式; 2.用八选一数据选择器和门电路实现。

简易数字电压表 程序

/*简易数字电压表制作(C语言版)*/ /*目标器件:AT89S52 */ /*晶振:12.000MHZ */ /*编译环境:Keil */ /***********************************************************************************/ /*********************************包含头文件********************************/ #include #include /*********************************端口定义**********************************/ sbit CS = P3^4; sbit Clk = P1^0; sbit DA TI = P1^1; sbit DA TO = P1^1; /*******************************定义全局变量********************************/ unsigned char dat = 0x00; //AD值 unsigned char count = 0x00; //定时器计数 unsigned char CH; //通道变量 unsigned char dis[] = {0x00, 0x00, 0x00}; //显示数值 /*******************************共阳LED段码表*******************************/ unsigned char code tab[]={0xc0,0xf9,0xa4,0xb0,0x99,0x92,0x82,0xf8,0x80,0x90}; /**************************************************************************** 函数功能:AD转换子程序 入口参数:CH 出口参数:dat ****************************************************************************/ unsigned char adc0832(unsigned char CH) { unsigned char i, test, adval; adval = 0x00; test = 0x00; Clk = 0; //初始化 DA TI = 1; _nop_( ); CS = 0; _nop_(); Clk = 1; _nop_(); if ( CH == 0x00 ) //通道选择 { Clk = 0; DA TI = 1; //通道0的第一位 _nop_(); Clk = 1;

数字逻辑考试答案

中国石油大学(北京)远程教育学院 《数字逻辑》期末复习题 一、单项选择题 1. TTL 门电路输入端悬空时,应视为( A ) A. 高电平 B. 低电平 C. 不定 D. 高阻 2. 最小项D C B A 的逻辑相邻项是( D ) A .ABCD B .D B C A C .C D AB D .BCD A 3. 全加器中向高位的进位1+i C 为( D ) A. i i i C B A ⊕⊕ B.i i i i i C B A B A )(⊕+ C.i i i C B A ++ D.i i i B C A )(⊕ 4. 一片十六选一数据选择器,它应有( A )位地址输入变量 A. 4 B. 5 C. 10 D. 16 5. 欲对78个信息以二进制代码表示,则最少需要( B )位二进制码 A. 4 B. 7 C. 78 D. 10 6. 十进制数25用8421BCD 码表示为(B ) 101 0101 7. 常用的BCD 码有(C ) A:奇偶校验码 B:格雷码 C:8421码 D:ASCII 码 8. 已知Y A AB AB =++,下列结果中正确的是(C ) A:Y=A B:Y=B C:Y=A+B D: Y A B =+ 9. 下列说法不正确的是( D ) A:同一个逻辑函数的不同描述方法之间可相互转换 B:任何一个逻辑函数都可以化成最小项之和的标准形式 C:具有逻辑相邻性的两个最小项都可以合并为一项 D:任一逻辑函数的最简与或式形式是唯一的 10. 逻辑函数的真值表如下表所示,其最简与或式是(C ) A: ABC ABC ABC ++ B: ABC ABC ABC ++ C: BC AB + D: BC AC + 11.以下不是逻辑代数重要规则的是( D ) 。

基于51单片机的ADC0832数字电压表(仿真+程序)

仿真图: #in elude #in elude vintrin s.h> sbit CS = P3A 5; sbit Clk =卩3人3; sbit DATI = P3A4; sbit DATO = P3A4; sbit P20=P2A0 ; un sig ned char dat = 0x00; un sig ned char count = 0x00; /** ***************************** ^定义全局变^量 ****************************** /** 斗 XT C £J_1 XTW2 R^T Pd KI W KUW? PQ pa.&^oo KMW POTror ip? rw P2/W PG£H 芒酒r P2.4m; fcA. 旳腳威 *2 ma 5 P3.IM 沁 Pi 1 pg.vwt F3.Z/IOO Fts PH M.:州和 P2-4TD P1 6 P16 ?"■S.aUtfk P3 & ■ 14 ■和 PV1 ******************************* 包含头文^件 ****************************** /** ******************************* ^端■口定^义 ******************************** //AD 值 //定时器计数 U2 xinjjbn ■TBMK ■ & EK ■曲 ■詡 'RP1 ■ 12 4 '

unsigned char CH; // 通道变量 unsigned char dis[] = {0x00, 0x00, 0x00}; // 显示数值 /*************************共阳 LED 段码表unsigned char code tab[]={0xc0,0xf9,0xa4,0xb0,0x99,0x92,0x82,0xf8,0x80,0x90}; char code tablewe[]={ 0xfd,0xfb,0xf7,0xef,0xdf,0xfe }; /*************************************************************************** * 函数功能 :AD 转换子程序 入口参数 :CH 出口参数 :dat ************************************************************************** unsigned char adc0832(unsigned char CH) { unsigned char i,test,adval; adval = 0x00; test = 0x00; Clk = 0; // 初始化 DATI = 1; _nop_(); CS = 0; _nop_(); Clk = 1; _nop_();

数字逻辑设计习题参考答案 (第2,3章)

数字逻辑设计 习题册 班级: 学号: 姓名: 哈尔滨工业大学(威海) 计算机科学与技术学院体系结构教研室

第2章 逻辑代数基础 2—1 填空 1.摩根定理表示为:=?B A _B A + __;=+B A _B A ?__。 2. 函数表达式D C AB Y ++=,则其对偶式为='Y _D C B A ??+)(_______。 3.根据反演规则,若C D C B A Y +++=,则=Y C D C B A ?++)(。 4.函数式CD BC AB F ++=写成最小项之和的形式结果为 ()15,14,113,12,11,7,6,3∑m , 写成最大项之积的形式结果为)10,9,8,5,4,2,1,0(∏M 。 5. (33.33)10 =(100001.0101 )2 =( 41.2 )8 =( 21.5 )16 2—2 证明 1.证明公式()()A BC A B A C +=++成立。 2.证明此公式B A B A A +=+成立。 3.证明此公式)()()()()(C A B A C B C A B A +?+=+?+?+成立。 左边 (由分配律得) 右边 BC A BC B C A BC BA AC AA C A B A +=+++=+++=++)1())((B A A A B B B A B A B A AB AB B A B A AB B A B B A +=+++=+++=++=++=)()()(AC BC A B C A AC B C A C B B A ++=+?+=+ ?+?+=)()()()()(AC BC A B BC A B AC A A ++=+++=

数字逻辑电路课程设计题目及要求

数字逻辑电路课程设计题目及要求 项目一:高精密数控电源的设计和制作: 要求:电源输出电压为:0—9.9V,步进为0.1V,有两位数码管显示,每位有两个按键能加能减的作用。功率大小不做具体的要求,电路设计不能有专业的A/D芯片。项目二:自行车的里程表设计和制作: 要求:设计一个自行车里程表电路,有两位数码管显示,显示数字的单位为百米,自行车轮胎直径为0.99M。 项目三:自行车的速度测定仪表的设计和制作: 要求:设计一个自行车测速电路,有两位数码管显示,显示数字的单位为M/S,采样时间不能超过5S,自行车轮胎直径为0.99M。 项目四:多功能抢答器的设计和制作: 要求:多功能抢答器为八路的,具有数码和指示灯双重指示,电路的附加的电路一个有计时、报警等电路,所用芯片必须为我们所学的。 项目五:数字频率计的设计和制作: 要求:数字频率计的显示为两位数码管,单位为KHZ,具有溢出报警功能,附带震荡电路,频率可调几K到几百KHZ。 项目六:交通灯的设计和制作: 要求:具有真正模拟十字路口的交通灯的能力,红灯5秒,绿灯4秒,黄灯1秒等的时间也可以自己设定。 项目七:数字电子钟逻辑电路的设计和制作: 要求:数字电子钟逻辑电路要具有电子手表的功能,例如时间的设定,整点报时,可以设定闹钟等功能,只需四位数码。 项目八:定时控制器电路的设计和制作: 要求:定时控制器电路能够定时控制家用电器的开关,例如能按时开启、关断电饭煲煮饭等,电器用灯泡代替,时间可以缩短60倍。 项目九:LED广告牌电路设计和制作: 要求:LED广告牌电路能够模拟市面上的LED广告灯箱,能有四个字显示能力(共青学院),可以的话周围有霓虹灯闪烁。 项目十:易拉罐技术电路的设计与制作: 要求:易拉罐在传送带上过时,记录数值,以20灌为一个单位,满二十灌重新 计数并给出提示音,此过程模拟工厂易拉罐装箱过程. 项目十一:数显风扇调速器电路 要求:具有中低高三档,0为停止,1为低档。2为中档,3为高档。调节开关设 置成触摸,遥控等。可以的话加入温度自动控制电路。 项目十二:出租车计价器电路

数字逻辑与数字系统设计课程大纲

“数字逻辑与数字系统设计”教学大纲 课程编号:OE2121017 课程名称:数字逻辑与数字系统设计英文名称:Digital Logic and Digital System Design 学时:60 学分:4 课程类型:必修课程性质:专业基础课 适用专业:电子信息与通信工程(大类)开课学期:4 先修课程:高等数学、大学物理、电路分析与模拟电子线路 开课院系:电工电子教学基地及相关学院 一、课程的教学任务与目标 数字逻辑与数字系统设计是重要的学科基础课。该课程与配套的“数字逻辑与数字系统设计实验”课程紧密结合,以问题驱动、案例教学、强化实践和能力培养为导向,通过课程讲授、单元实验、综合设计项目大作业、设计报告撰写、研讨讲评等环节,实现知识能力矩阵中1.1.2.2、1.2.1.2以及2.5、2.6、3.6、4.1、4.2的能力要求。 要求学生掌握数字电路的基本概念、基本原理和基本方法,了解电子设计自动化(EDA:Electronic Design Automation)技术和工具。数字电路部分要求学生掌握数制及编码、逻辑代数及逻辑函数的知识;掌握组合逻辑电路的分析与设计方法,熟悉常用的中规模组合逻辑部件的功能及其应用;掌握同步时序逻辑电路的分析和设计方法,典型的中大规模时序逻辑部件。EDA设计技术部分,需要了解现代数字系统设计的方法与过程,学习硬件描述语言,了解高密度可编程逻辑器件的基本原理及开发过程,掌握EDA 设计工具,培养学生设计较大规模的数字电路系统的能力。 本课程教学特点和主要目的: (1)本课程概念性、实践性、工程性都很强,教学中应特别注重理论联系实际和工程应用背景。 (2)使学生掌握经典的数字逻辑电路的基本概念和设计方法; (3)掌握当今EDA工具设计数字电路的方法。 (4)本课将硬件描述语言(HDL)融合到各章中,并在软件平台上进行随堂仿真, 通

51单片机数字电压表设计

基于51单片机的数字电压表设计 二级学院铜陵学院 专业自动化 班级 组号 组员 指导教师

简易的数字电压表的设计 目录 一课程设计任务书·····························································································································错误!未定义书签。 1.1 设计题目、目的····················································································································错误!未定义书签。 1.2 题目的基本要求和拓展功能··························································································错误!未定义书签。 1.3 设计时间及进度安排··········································································································错误!未定义书签。 二设计内容············································································································································错误!未定义书签。 2.1 元器件选型······························································································································错误!未定义书签。 2.2 系统方案确定·························································································································错误!未定义书签。 2.3 51单片机相关知识··············································································································错误!未定义书签。 2.4 AD转换器相关知识··············································································································错误!未定义书签。 三数字电压表系统设计 (7) 3.1系统设计框图 (8) 3.2 单片机电路 (9) 3.3 ADC采样电路 (10) 3.4显示电路 (11) 3.5供电电路和参考电压·························································································································································· 3.6 数字电压表系统电路原理图·········································································································································四软件部分 4.1 主程序 4.2 显示子程序 五数字电压表电路仿真 5.1 仿真总图 5.2 仿真结果显示 六系统性能分析 七心得体会 - 2 -

数字电压表设计

《单片机课程设计》设计报告 设计题目: 姓名: 设计时间:2010-12-28 备注:

目录 1.引言 (2) 2.概述··2 2.1实验要求 (2) 2.2实验目的 (2) 2.3 实验器材 (2) 3.总体设计方案 (3) 3.1系统的总体结构 (3) 3.2芯片的选择 (4) 3.3 ADC0809 的主要性能指标 (4) 4.硬件电路设计 (6) 4.1 AT89S52 单片机最小系统 (6) 4.2 ADC0809 与AT89S52 单片机接口电路设计 (6) 4.3显示电路与AT89S52 单片机接口电路设计 (6) 5.软件设计 (7) 5.1 主程序图 (7) 5.2 ADC0809 电压采集程序框图 (8) 5.3显示程序框图 (9) 6.调试与测量结果分析 (10) 6.1实验系统连线图 (11) 6.2程序调试 (12) 6.3 仿真结果 (13) 6.4 实验结果分析 (14) 7.程序清单和系统原理图 (15) 7.1程序清单 (15) 7.2 系统原理图 (16) 8.实验总结和实验收获 (17)

1.引言 本次课程设计要求完成是数字电压表的设计,随着电子科学技术的发展,电子测量成为广大电子工作者必须掌握的手段,对测量的精度和功能的要求也越来越高,而电压的测量甚为突出,因为电压的测量 最为普遍。本次课程设计我们小组xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx xxxxxxxxxxxxxxxxxxxxxxxxxxxxxx该电路设计新颖、功能强大、可 扩展性强。 实验报告首先简要介绍了设计数字电压表的实验要求和目的;根据要求和目的设计出直流数字电压表的系统结构流程,以及硬件系统和软件系统的设计,并给出了硬件电路的设计细节,以及调试和仿真结果。最后进行了实验和心得体会的总结。 通过完成一个包括电路设计和程序开发的完整过程,使自身了解开发单片机应用系统的全过程,强化巩固所学知识,为以后的学习和工作打下基础。 2.概述 2.1实验要求 采用ADC0809 和AT89S52 单片机及显示电路完成0~5V 直流电压的检测 2.2实验目的 (1)进一步熟悉和掌握单片机的结构和工作原理; (2)掌握单片机的借口技术及,ADC0809芯片的特性,控制方法;(3)通过这次实训设计,掌握以单片机为核心的电路设计的基本

数字逻辑设计及应用 本科1 答案

1 电子科技大学网络教育考卷(A 卷) (20 年至20 学年度第 学期) 考试时间 年 月 日(120分钟) 课程 数字逻辑设计及应用(本科) 教师签名_____ 一、填空题(每空1分,共20分) 1、请完成如下的进制转换:22.7510= 10110.11 2= 26.6 8= 16.C 16; 2、F6.A 16= 246.625 10= 0010 0100 0110.0110 0010 0101 8421BCD = 0101 0111 1001.1001 0101 1000 余3码 3、-9910的8位(包括符号位)二进制原码是 11100011 ,8位二进制反码是 10011100 ,8位二进制补码是 10011101 ; 4、请问逻辑F=A /B+(CD)/+BE /的反函数F /= A C D E CD B /+ ; 解: ACDE CD B CDE B ACDE CD B CD AB ) E B (CD )B A ()BE )CD (B A ( F ///////////+=+++=+??+=++= 5、F(A,B,C)=Σm (2,4,6)=ПM( 0,1,3,4,7 ); 6、请问图1-6所完成的逻辑是Y= A ⊕B ; 解:通过真值表可以可到该逻辑: 7、74148器件是一个3-8编码器,它采用的编码方式是 优先编码 或 数大优先编码 ; 8、74283器件是一个4位全加器,它的内部逻辑电路与串行加法器不同,采用的是 超前进位 或 先行进位 方法来实现全加逻辑。 9、如果一个与或逻辑电路的函数式为:)C B )(B A (Y / / ++=,该逻辑存在静态冒险,现通过添加冗余项的方式来消除该冒险,则该冗余项为 (A /+C ) ; 10、请写出JK 触发器的特性方程:* Q = JQ /+K /Q ; 11、请写出T 触发器的特性方程:*Q = T ⊕Q 或者TQ /+T /Q ; 12、请写出D 触发器的特性方程:*Q = D ; 13、请写出SR 触发器的特性方程:*Q = S+R /Q ; 14、如果某组合逻辑的输入信号的个数为55个,则需要 6 位的输入编码来实现该逻辑。 解:采用的公式应该是log 255,向上取整 二、选择题(每题1分,共10分) 1、下面有关带符号的二进制运算,描述正确的是,其中X 是被加数,Y 是加数,S 为和: ①. [X]原码+[Y]原码=[S]原码 ②. [X]补码+[Y]补码=[S]补码 ③. [X]反码+[Y]反码=[S]反码 ④. [X]原码+[Y]原码=[S]补码 2、逻辑函数式AC+ABCD+ACD /+A /C= ①. AC ②. C ③. A ④. ABCD 3、请问F=A ⊕B 的对偶式=D F ①. A+B ②. A ⊙B ③. AB ④. AB /+A /B 4、已知门电路的电平参数如下:,,,,V 8.0V V 0.2V V 5.0V V 7.2V max IL min IH max O L min O H ====请问其高电平的噪声容限为: ①.2.2V ②.1.2V ③.0.7V ④.0.3V 5、下面描述方法,对于一个组合逻辑而言,具备唯一性的是: ①.逻辑函数式 ②.真值表 ③.卡诺图 ④.逻辑电路图 6、下面电路中,属于时序逻辑电路的是: ①.移位寄存器 ②.多人表决电路 ③.比较器 ④.码制变换器 7、一个D 触发器的驱动方程为Q X D ⊕=,则其逻辑功能与以下哪种触发器相同: ①. JK 触发器 ②. SR 触发器 ③. D 触发器 ④. T 触发器 8、n 位环形计数器,其计数循环圈中的状态个(模)数为: ①.n 个 ②.2n 个 ③.2n 个 ④.2n -1个 9、n 位扭环计数器,其计数循环圈中的状态个(模)数为: ①.n 个 ②.2n 个 ③.2n 个 ④.2n -1个 10、如果用JK 触发器来实现T 触发器,则JK 触发器的驱动端需要做如下的连接: ①.J=K=0 ②.J=K=T ③.J=T;K=T ’ ④.J=T ’;K=T 三、判断题(每题1分,共10分) 1、CMOS 集成逻辑OD 门,可以用以线与操作;(√ ) 2、三态门的附加控制端输入无效时,其输出也无效;( Х ) 3、三态门的三个状态分别为高电平、低电平和高阻态;(√ ) 4、施密特触发输入的门电路,当输入从高电平变换到低电平,和从低电平变换到高电平,它的输出变化轨迹相 姓名__________________ 专业名称__________________ 班号________________学号__________________教学中心_________________ …………………… …… … … … … …密………………… …… … … … … ……封……………… …… … … …线… … … …… … … …………………… 图1-6

数字逻辑电路课程设计电子密码锁

数字逻辑电路课程设计 课题:电子密码锁设计 姓名: 班级:13通信 学号: 成绩: 指导教师: 开课时间:

目录 摘要 (1) 一课程设计目的内容及安排 (2) 1.1设计目的 (2) 1.2设计内容 (2) 1.3设计安排 (2) 1.4设计内容 (2) 二电子密码锁设计要求及总框图 (3) 2.1设计要求 (3) 2.2总框图 (4) 三各模块电路设计 (5) 3.1密码输入存储比较模块 (5) 3.2五秒计时电路 (6) 3.3二十秒计时电路 (8) 3.4报警电路 (10) 3.5总电路 (11) 四设计心得 (12) 五参考文献 (13)

电子密码锁 摘要:设计一个密码锁的控制电路,当输入正确代码时,输出开锁信号以推动执行机构工作,用红灯亮、绿灯熄灭表示关锁,用绿灯亮、红灯熄灭表示开锁;在锁的控制电路中储存一个可以修改的4位代码,当开锁按钮开关(可设置成6位至8位,其中实际有效为4位,其余为虚设)的输入代码等于储存代码时,开锁;从第一个按钮触动后的5秒内若未将锁打开,则电路自动复位并进入自锁状态,使之无法再打开,并由扬声器发出持续20秒的报警信号。密码输入存储及比较部分使用芯片74LS194及74LS85。五秒及时部分采用芯片74LS161和数码显示管。二十秒报警电路由74LS160,555定时器组成的多谐振荡器,LED灯和蜂鸣器组成。利用multisim对电路进行仿真可以得到结果。 关键词:电子密码锁,计时电路,报警电路

一课程设计目的内容及安排 1.1设计目的 1 根据设计要求,完成对交通信号灯的设计。 2 加强对Multisim10仿真软件的应用。 3 掌握交通信号灯的主要功能与在仿真软件中的实现方法。 4 掌握74LS160,74LS192等功能。 1.2 设计内容 设计一个密码锁的控制电路,当输入正确代码时,输出开锁信号以推动执行机构工作,用红灯亮、绿灯熄灭表示关锁,用绿灯亮、红灯熄灭表示开锁; 在锁的控制电路中储存一个可以修改的4位代码,当开锁按钮开关(可设置成6位至8位,其中实际有效为4位,其余为虚设)的输入代码等于储存代码时,开锁; 从第一个按钮触动后的5秒内若未将锁打开,则电路自动复位并进入自锁状态,使之无法再打开,并由扬声器发出持续20秒的报警信号。 1.3设计安排

基于单片机的数字电压表制作——ADC0832模数转换应用程序(C语言)

基于单片机的数字电压表制作——ADC0832模数转换应用程序(C语言) 主要部件:AT89S51 ADC0832 八段数码管 关键字:ADC0832程序C语言数字电压表 本文所描述的数字电压表是利用ADC0832模数转换芯片完成的。该芯片能将0~5V的模拟电压量转换为0~255级的数字量,所以本文描述的数字电压表的量程为0~5V。 说实在话,量程只有5V的电压表没有什么实际的意义,而且也没有人无聊到自己会去做一个没有意义的电压表。但是通过这个简易电压表的制作你可以对模数转换芯片有一定的了解,对以后做真正有用的电路打下基础。而且,对于那些做毕业设计的同学也是一种参考。这也就是本文的意义所在。 ADC0832的资料百度一下可以找到一大堆,我就不在这里赘述了。这里只给出连接图。 以下是程序部分: 该程序是本人自编的,经测试可用,但不保证程序的可靠性及稳定性。若有转载请标明出处。 如果有同学将本程序烧写到单片机里却不能正常工作的,请注意以下三点: 1、是否将端口重新定义。每个单片机开发板的引脚连接都是不一样的,若不加修改直接把程序烧写到单片机里,那是绝对不能正常工作的。 2、是否正确选择通道值。ADC0832有两个模拟输入端口(也就是我说的通道),你要先弄清楚你用的

是那个通道,并在main函数中设置相应的通道值(以CH命名的那个变量)。本程序默认使用0通道,如果0通道不行就改成1通道,反正不是0通道就是1通道。 3、如果你做的电压表在保证电路连接正确且没有以上两点问题的情况下,还是不能正常工作,请将程序中的“if (adval == test)”这一行删掉。其实这一点我个人也不清楚到底有没有问题。我有两个单片机开发板,其中一个必须要把那一行删掉才能工作。这说明ADC0832读出的前8位与后8位数值不一样(确切的说应该是后8位反转的数值),这有悖于ADC0832的原理。我不知道到底是硬件还是软件出了问题,特此把这种现象标明。若有哪位同学知道其原因的还请多多指教。 /***********************************************************************************/ /*简易数字电压表制作——ADC0832模数转换应用程序(C语言版)*/ /*目标器件:AT89S51 */ /*晶 振:12.000MHZ */ /*编译环境:Keil uVision2 V2.12 */ /***********************************************************************************/ /*********************************包含头文件********************************/ #include #include /*********************************端口定义**********************************/ sbit CS = P3^5; sbit Clk = P3^4; sbit DATI = P3^3; sbit DATO = P3^3; /*******************************定义全局变量********************************/ unsigned char dat = 0x00; //AD值 unsigned char count = 0x00; //定时器计数 unsigned char CH; //通道变量

相关文档
相关文档 最新文档