文档库 最新最全的文档下载
当前位置:文档库 › 数电课程设计_七人表决电路

数电课程设计_七人表决电路

数电课程设计_七人表决电路
数电课程设计_七人表决电路

数字电路课程设计报告课题:七人表决电路

班级:电子信息工程1301

姓名:张婷

学号: 1 1 0 8 0 3 0 5 0

指导教师:刘玲丽老师

设计时间:2015.4-2015.5

七人表决电路

一、设计任务与要求

1.设计一个七人表决器,用数码管显示出同意的人数。

2. 当赞同者达到及超过四人时,LED发光二极管灯亮表示通过

3.学会根据已学知识设计具有某一特定功能的电路,学会基本电路的组装与调试。

二、方案设计与论证

当按下开关时,代表同意赞成,开关没有按下去时,表示不赞成。评委按照自己的意愿投票,选择是否按下开关,即选择逻辑电位。对逻辑电位进行译码,对译码后芯片的输出进行显示。

方案一:先用74LS161自动计数,在计数的同时用数据选择器分别将通过和否决的高电平进行选择,之后将七人的选择结果用74LS161加法计数器进行累加。然后在数码管显示并用数据比较器进行比较,如果通过的票数大于或等于4,则LED灯亮表示“通过”。如果通过的票数小于4,则LED灯不亮表示“否决”。

方案二:将七人的通过、否决票数用移位寄存器进行寄存,弃权的票数用另一组移位寄存器进行寄存,然后用CLK脉冲信号使其移位寄存器进行右移,用T触发器构成的同步二进制加法计数器进行累加,如果通过的票数大于或等于4,则LED灯亮表示“通过”。如果通过的票数小于4,则LED灯不亮表示“否决”。

方案二总体电路原理图

方案对比:方案一是利用数据选择器和计数器原理,电路实现方便、设计思路明确、布线简单、电路的稳定性高、调试简单、易于实现要求;方案二利用寄存器以及计数器原理,电路复杂不容易查找错误,调试复杂。

通过分析,结合设计电路性能指标、器件的性价比,本设计电路选择方案一

三、单元电路设计与参数计算

1.555定时器

先把555定时器时钟的2,6脚相连并通过电容C2接地,并同时通过R15接到7脚,7脚通过R16接电源Vcc,5脚接地C1再接到地,构成多谐振荡器。用以产生方波时钟信号。电路中,R15、R16、C1和C2是定时元件,电路原理图如下:

555定时器是一种用途很广的集成电路,如表3所示,可以构成施密特触发器、单稳态触发器和多谐振荡器,都是利用R、C元件构成的脉冲电路,分析的关键就是电容的充放电,关键的连线点事是与电容相连的元件输入端。

2.表决电路部分:

先将七个并排拨挡开关的输入接到电源,输出依次接到74LS151的输入端X0X1X2X3X4X5X6,输入端X7接地,再用方波信号使74LS161二进制加法计数器自动计数并将其输出端的Q0Q1Q2依次接入到八选一数据选择器74LS151的ABC,在74LS151的输入端G接入方波信号让其芯片进行选择使其在计数的同时用数据选择器分别将通过和否决的高低电平进行选择,将74LS151的输出端Y接入到74LS161的输入端clk用来把七人的选择结果在74LS161加法计数器进行累加,74LS161的最高位输出端Q3接入与非门74LS00之后到74LS161和74LS161的7端口,用来计数截止保持到8,由于七人表决器跟大于或等于4时且小于或等于7时LED灯亮以用来显示通过,分析可知只需在74LS161的输出端Q2接入LED灯即可,电路图如下:

表决电路部分

(1)74LS161引脚图以及功能表:

74LS161是常用的四位二进制可预置的同步加法计数器,他可以灵活的运用在各种数字电路,是一种最简单基本的运算,计数器就是实现这种运算的逻辑电路,计数器在数字系统中主要是对脉冲的个数进行计数,以实现测量、计数和控制的功能,同时兼有分频功

能。

74LS161是四位二进制可预置同步计数器,由于它采用4个主从JK触发器作为记忆单元,故又称为四位二进制同步计数器,其集成芯片管脚如图所示。

CE

CE

CP

74LS161管脚图

该计数器由于内部采用了快速进位电路,所以具有较高的计数速度。各触发器翻转是靠时钟脉冲信号的正跳变上升沿来完成的。时钟脉冲每正跳变一次,计数器内各触发器就同时翻转一次,74LS161的功能表如表所示:

74LS161逻辑功能表

由于74LS161的计数容量为16,即计16个脉冲,发生一次进位,所以可以用它构成16进制以内的各进制计数器,实现的方法有两种:置零法(复位法)和置数法(置位法)。

从74LS161功能表功能表中可以知道,当清零端CR=“0”,计数器输出Q3、Q2、Q1、Q0立即为全“0”,这个时候为异步复位功能。当CR=“1”且LD=“0”时,在CP信号上升沿作用后,74LS161输出端Q3、Q2、Q1、Q0的状态分别与并行数据输入端D3,D2,D1,D0的状态一样,为同步置数功能。而只有当CR=LD=EP=ET=“1”、CP脉冲上升沿作用后,计数器加1。74LS161还有一个进位输出端CO,其逻辑关系是CO= Q0·Q1·Q2·Q3·CET。合理应用计数器的清零功能和置数功能,一片74LS161可以组成16进制以下的任意进制分频器。

(2)74LS151引脚图以及功能表:

74LS151为互补输出的8选1数据选择器。

引脚排列如图所示,

74LS151管脚图功能如表所示。

74LS151逻辑功能表

选择控制端(地址端)为C~A,按二进制译码,从8个输入数据D0~D7中,选择一个需要的数据送到输出端Y,G为使能端,低电平有效。

(1)使能端G=1时,不论C~A状态如何,均无输出(Y=0,W=1),多路开关被禁止。838电子(2)使能端G=0时,多路开关正常工作,根据地址码C、B、A的状态选择D0~D7中某一个通道的数据输送到输出端Y。

如:CBA=000,则选择D0数据到输出端,即Y=D0。新艺图库

如:CBA=001,则选择D1数据到输出端,即Y=D1,其余类推。

(3)发光二极管

LED发光二极管的工作原理

图3-4发光二极管结构图

发光二极管简称为LED。由镓(Ga)与砷(AS)、磷(P)的化合物制成的二极管,当电子与空穴复合时能辐射出可见光,因而可以用来制成发光二极管,在电路及仪器中作为指示灯,或者组成文字或数字显示。磷砷化镓二极管发红光,磷化镓二极管发绿光,碳化硅二极管发黄光。

注意事项:用数字式万用表去测二极管时,红表笔接二极管的正极,黑表笔接二极管的负极,此时测得的阻值才是二极管的正向导通阻值,这与指针式万用表的表笔接法刚好相反。发光二极管的正负极也可以从引脚长短来识别,长脚为正,短脚为负。经分析,将74LS161(2)的输出端的Q2接到LED灯长脚,短脚接地。

(4)显示译码器CD4511:

CD4511是一个用于驱动共阴极 LED (数码管)显示器的 BCD 码—七段码译码器,特点是具有BCD转换、消隐和锁存控制、七段译码及驱动功能的CMOS电路能提供较大的拉电流。可直接驱动LED显示器。

CD4511引脚图

CD4511功能介绍如下:

BI:4脚是消隐输入控制端,当BI=0 时,不管其它输入端状态如何,七段数码管均处于熄灭(消隐)状态,不显示数字。

LT:3脚是测试输入端,当BI=1,LT=0 时,译码输出全为1,不管输入 DCBA 状态如何,七段均发亮,显示“8”。它主要用来检测数码管是否损坏。

LE:锁定控制端,当LE=0时,允许译码输出。 LE=1时译码器是锁定保持状态,译码器输出被保持在LE=0时的数值。

A1、A2、A3、A4、为8421BCD码输入端。

a、b、c、d、e、f、g:为译码输出端,输出为高电平1有效。

CD4511的内部有上拉电阻,在输入端与数码管笔段端接上限流电阻就可工作。(5)共阴阴数码管:

共阴极数码管则是把所有LED的阴极连接到共同接点com,而每个LED的阳极分别为a、b、c、d、e、f、g及dp(小数点),如下图所示。图中的8个LED分别与上面那个图的A~DP 各段相对应,通过控制各个LED的亮灭来显示

对于单个数码管来说,从它的正面看进去,左下角那个脚为1脚,以逆时针方向依次为1~10脚,左上角那个脚便是10脚了,上面两个图中的数字分别与这10个管脚一一对应。注意,3脚和8脚是连通的,这两个都是公共脚接阴极。

四、总原理图及元器件清单

1.总原理图:

七人表决电路2.元件清单:

(1)74LS151 1片

(2)74LS161 2片

(3)74LS00 1片

(4)CD4511 1片

(5)NE555 1片

(6)共阴极数码管 1个

(7)七个并排拨挡开关 1个

(8)LED发光二极管 1个

(9)0.22uF的电容 2个

(10)2k的电阻 2个

(11)147欧姆的电阻 7个

五、安装与调试

1.电路安装

将设计好的电路在Multisim 11.0中绘制出来,在绘制时,注意各器件的选择和使用,开关应选择并排拨挡开关,共7个,开关连线时应保持处于断开状态,不得提前接通电源。此外,接线时的走线,应选择合适的走线方式,使整个电路显得清晰明确,尽量避免接线的来回交叉、或者绕远的走线方式。

Multisim 11.0的使用也是一项非常复杂的事情,需要足够的细心和耐心,一个很小的疏忽就容易接错线,而接线错误就会造成仿真错误,甚至仿真失败。因此一定要注意仿真时的正确接线。

2.电路调试

(1)静态调试

电路安装完毕后,先认真检查接线是否正确,引脚是否虚焊,包括错线、少线、多线。线路连接错误一般是因为接线时看错引脚,或者改接时忘记去掉原来的旧线造成的,实验查线是往往不易发现。首先按照总电路图检查是否有引脚连接错误,连接短路,接着用万用表依次检测电路的焊接中是否有虚焊或者短路的情况。焊接时注意点,这种情况可以避免。

(2)动态调试

完成焊接后,先测试电源导通,利用数码管的显示,看电源是否导通。要进行电路的表决需要借助555构成的多谐振荡器产生的信号,再用拨挡开关的开通与否进行表决,然后与数码管显示的数字进行对比看是否一致,需注意输入电压不要过高,对应5V即可。

焊接实物图

(1)正面元件实物图:

(2)反面焊接实物图:

A:当1人/3人(小于4人)按下赞同开关,灯不亮

B:当4人/6人(大于或等于4人)按下赞同开关,灯亮

六、性能测试与分析

调试图1 5人通过电路仿真

调试图2 2人通过电路仿真

结论分析:接通电源拨动开关进行表决,每多(少)一个人表决赞同时数码管会相应的加(减)1,当数码管显示小于4时LED灯不亮,反之则亮,符合此次设计要求

七、结论与心得

在数字电子技术课程设计的尾声我认识到,我们做好课程设计,需要认真复习一个学期以来学过的内容,需要翻阅课本知识才能确立实验方案,然后逐步进行细化设计。我主要负责电路的模拟与仿真部分,在仿真过程中总会遇到各种各样的问题,需要耐心地分析、解决。我知道“世上无难事,只怕有心人”,经过我多日的不懈努力,我终于攻克了仿真过程中的大部分难题,成功地实现了仿真,基本达到了预期效果。

通过这次的数电课程设计,我了解了七人表决器的制作过程及其工作原理,通过和课本上的知识进行比较对照,更加深刻地理解了74LS151、74LS161等相关知识。我还学会了模拟仿真软件Multisim 11.0的安装、汉化、及基本使用方法,学会简单电路的模拟仿真,对今后的学习和生活会有很大的帮助,甚至可以不用去实验室就能在电脑上模拟完成一些实验项目。此外,我理解了设计数字电路时如何正确选取合适的元件,进一步地认识了数字电路的工作原理和它的性能指标。

我们以前学的都是理论知识,仅仅能够通过不多的几次实验进行实践。这次课程设计之后,我认识到只有自己深入到练习和实践中去,才能真正地了解电路、认识电路,加深对所学知识的掌握理解。

由于本次课程设计安排在课程中期,课程任务多,导致设计时间紧,任务重,最后的设计结果难免存在一些问题,例如我们原本打算制作一个计数器,用来计算电路中共有几人同意,用74LS138、74LS139等相关芯片制做计数器并用数码管显示出来,在仿真中也进行了设计,但不知何故运行时经常发生错误,计数器总是不能正常工作,由于时间紧,没有足够的时间进行设计和调试,最终导致我们不得不删掉这一部分。我会在以后的实践活动中认真思考,好好改进,吸取这次的经验教训,在实践中得到锻炼,在实践中得以提高。

通过本次课程设计,我学到不少东西,也得到了不少锻炼。在做课程实际之前,从大处着眼,统筹兼顾的看问题是必须的。首先的第一要务是将整个任务书综合考虑,比如本次的七人表决器的设计,要综合考虑各部分的联系,分块设计,分块设计中主要考虑各个设计电路与其他部分的联系,各个部分电路功能的实现。最后是各部分电路的整体连接与调试。而且,我也从这次实验中学到了不少在书本中没有的东西,明白了实践与理论的结合的重要性,以及同学之间互相帮助、相互合作的重要性,更重要的是对整个电路各个元器件及其模拟仿真有了深刻的认识,在遇到困难时运用学过的相关知识,运用适当的方法尽力去解决各个难题,通过自己不懈的努力来达到目标。

八、参考文献

1.阎石数字电子技术基础(第四版),高等教育出版社,2006

2.《555集成电路实用大全》,郝鸿安等主编,上海科学普及出版社

3.《毕满清主编,电子技术实验与课程设计》,机械工业出版社。

4.《用万用表检测电子元器件》,杜龙林编,辽宁科学技术出版社(2001)

5.童诗白华成英《模拟电子技术基础》(第四版) 高等教育出版社,200

6.

张亦华,延明,肖冰.《数字逻辑设计实验技术与EDA工具》(第三版)北京邮电大学出版社,2003.

7.《电子实验与课程设计》——赣南师范学院物理与电子信息学院

8.《电工电子实践指导》(第三版),王港元主编,江西科学技术出版社(2009)

9.《新颖实用电子设计与制作》,杨振江等编,西安电子科大出版社(2000)。

物理与电子信息学院数字电路课程设计成绩评定表专业:电子信息工程班级:1301 学号:110803050 姓名:张婷

2014年5月10日

四选一、四位比较器、加法器、计数器、巴克码发生器、七人表决器

EDA实验报告 姓名: 学号: 班级:

实验14选1数据选择器的设计 一、实验目的 1.学习EDA软件的基本操作。 2.学习使用原理图进行设计输入。 3.初步掌握器件设计输入、编译、仿真和编程的过程。 4.学习实验开发系统的使用方法。 二、实验仪器与器材 1.EDA开发软件一套 2.微机一台 3.实验开发系统一台 4.打印机一台 三、实验说明 本实验通过使用基本门电路完成4选1数据选择器的设计,初步掌握EDA设计方法中的设计输入、编译、综合、仿真和编程的过程。实验结果可通过实验开发系统验证,在实验开发系统上选择高、低电平开关作为输入,选择发光二极管显示输出电平值。 本实验使用Quartus II 软件作为设计工具,要求熟悉Quartus II 软件的使用环境和基本操作,如设计输入、编译和适配的过程等。 实验中的设计文件要求用原理图方法输入,实验时,注意原理图编辑器的使用方法。例如,元件、连线、网络名的放置方法和放大、缩小、存盘、退出等命令的使用。学会管脚锁定以及编程下载的方法等。 四、实验要求 1.完成4选1数据选择器的原理图输入并进行编译; 2.对设计的电路进行仿真验证; 3.编程下载并在实验开发系统上验证设计结果。 五、实验结果 4选1数据选择器的原理图: 仿真波形图:

管脚分配:

实验2 四位比较器 一、实验目的 1.设计四位二进制码比较器,并在实验开发系统上验证。 2.学习层次化设计方法。 二、实验仪器与器材 1.EDA 开发软件 一套 2.微机 一台 3.实验开发系统 一台 4.打印机 一台 5.其它器件与材料 若干 三、实验说明 本实验实现两个4位二进制码的比较器,输入为两个4位二进制码0123A A A A 和 0123B B B B ,输出为M (A=B ),G (A>B )和L (A

七人表决器实验报告

七人表决器 一.实验目的 1.掌握Quartus II软件安装,熟悉Quartus II操作环境。 2.初步了解VHDL语言。 3.学习使用行为级描述方法设计电路。 二.实验原理 七人表决器 使用7个电平开关作为表决器的7个输入变量,输入为电平“1”时表示表决者“赞同”,输入为电平“0”时表示表决者“不赞同”。当表决器的7个输入变量中有不少于4个输入变量输入“1”,那么表决结果输出逻辑高电平,表示表决“通过”,否则,输出逻辑低电平,表示表决“不通过”。 七人表决器的可选设计方案非常多,可以采用使用全加器的组合逻辑。使用VHDL 进行设计的时候,可以选择行为级描述、寄存器级描述,结等方法。 当采用行为级描述的时候,采用一个变量记载选举通过的总人数。当这个变量的数值大于等于4时,表决通过,绿灯亮;否则表决不通过,黄灯亮。因此,设计时,需要检查每一个输入的电平,并且将逻辑高电平的输入数目进行相加,并且进行判断,从而决定表决是否通过。 二.实验内容 1.安装Quartus II软件,熟悉Quartus II操作环境。 2.使用VHDL实现上述描述。 3.波形仿真。 4.生成元件以及RTL 四.设计提示 1.初次接触VHDL应该注意程序的框架结构,数据类型和运算操作符。 2.了解变量和信号的区别。 3.了解进程内外语句的顺序和并行执行的区别。 4.设计文本的端口可如下:

《VHDL 语言与数字逻辑电路设计》实验指导书 - 2 – 设计文本: LIBRARY IEEE; library ieee; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_unsigned.ALL; ENTITY vote7 IS PORT( men:in std_logic_vector(6 downto 0); LedPass,LedFail:OUT std_logic ); END vote7; ARCHITECTURE behave OF vote7 IS signal pass:std_logic; BEGIN PROCESS(men) variable temp:std_logic_vector(2 downto 0); BEGIN temp:="000"; for i in 0 to 6 loop if(men(i)='1')then temp:=temp+1; else temp:=temp+0; end if; end loop; pass<=temp(2); END PROCESS; LedPass<='1' WHEN pass='1'ELSE '0'; LedFail<='1' WHEN pass='0'ELSE '0'; --库和程序包 --实体 --结构体 --结束

四人表决器

四川信息职业技术学院 《数字电子技术》 项目设计说明书 设计题目:_______________ 逻辑笔______________________ 专业: _____________ 应用电子技术____________________ 班级: _________________ 电创13T __________________ 组别: __________________________ 第七组______________________ 姓名: ________________ 123 ___________ 学号:1325001 1320009 139009 指导教师: ____________________ 呂题______________________ 2014 年9月14日

任务单 (1) 摘要 (3) 第一章方案设计 (4) 第二章电路设计及工作原理分析 (5) 2.1电平比较电路 (5) 2.2电平处理电路 (6) 2. 3LED显示电路 (6) 2.4电路原理分析 (7) 第三章电路仿真 (7) 3.1逻辑笔接高电平 (8)

3.2逻辑笔接低电平 (9) 3.3逻辑笔悬空 (10) 第四章电路搭建与调试 (11) 4.1逻辑笔电路上电正常状态图 (11) 4.2逻辑笔输入高电平状态图 (12) 4. 3逻辑笔输入低电平状态图 (13) 附录1电路原理图 (14) 附录2元器件明细表 (15) 附录3集成芯片资料 (16) 项目考核标准及评价表 (18) 摘要 逻辑测试笔,是一种新颖的测试工具,它能代替示波器,万用表等测试工具,通过转换开关,对TTL、CMOS、DTL等数字集成电路构成的各种电子仪器设备(电子计算机、程序控制、数字控制、群控装置)进行检测、调试与维修使用。 它具有重量轻、体积小、使用灵活,清晰直观,判别迅速正确,携带方便及TTL与CMOS兼容使用等优点。 关键词:TTL、CMOS、DTL、逻辑笔 第一章方案设计 对于本次的逻辑笔项U设计方案我们组设计了儿个方案,通过对逻辑笔的了解和讨论我们这组确定了最终设计方案。以下是我们的设计方案: 我们的设计思路如下 1:了解逻辑笔的功能 2:根据功能以及我们的需要上网查阅资料。 3:整理资料从资料中分析工作原理。 4:考虑方案的可行性,再根据方案进行电路连接。 比较电平

单片机七人表决器

一.方案设计 1.设计题目:七人表决器。 2.实训要求 利用AT89S51单片机设计并制作会议表决计票器电路。具体要求如下: 1、可供57个人进行表决,每个人有一个“同意”和一个“反对”按键,表决时两个键先按下的一个有效,若再按另一个键将清楚前一次按键的效能;每次表决每个按键只能是第一次按的有效,多按的次数无效,除非前一次按键的效能已被清楚或没有生效。 2、会议主持人可利用按键控制表决开始和结束;开始表决后,点亮黄色指示灯,表示可以进行表决,同时清楚原来的表决结果;结束表决后显示表决结果;“同意”多于“反对”点亮绿色指示灯,反之点亮红色指示灯。 3、在实现上述功能的基础上增加“同意”数和“反对”数的显示。 二.硬件电路设计和原理。 1.硬件设计思路: 设计题目为5—7人表决器,题目选为七人表决器,七个按键表示同意,七个按键表示反对,各按键与单片机的输入端口相连,因此可选用单片机的四个I/O口,因为在单片机内部P1和P2都有上啦电阻,而P0没有上啦电阻,要在外部加上一个上拉电阻,为了简化电路,把P1和P2口选为按键同意和反对的输入端,因为表决考试和结束要

利用主持人按键来控制,我采用外部中断0和外部中断一来控制其开始和结束;设计要求中需要四张灯,分别为2个红灯,一个黄灯,一个绿灯,其中三个灯用于输出显示,可用单片机的I/O口,另外一个红灯作为电源灯来显示,判断是否通电,因为P1口和P2口用做按键的同意和反对,把P2剩余的I/O口与三个灯连接,分别连接在P2.3,P2.4,P2.5口,因为P0口是低电平有效所以我的P0口与LED现实器相连用于显示反对与同意的人数的多少,采用动态显示的方式,为了保证两个显示器不再相同时间显示相同的数字,所以LED显示器的公共端受另外一组信号的控制,采用延时的方式让它们分别显示出来。 2.元件参数确定: 设计中需要四盏灯,分别为两个红灯,一个绿灯,一个黄灯,P0口的输出端输出高电平一般为5伏左右,最大电流为五毫安,因此必须加上限流电阻,我选用的是470欧的电阻,然后将单片机的最小系统加入此次的电路中。 3.元件清单: 14个开关用于7人同意与反对按键,另外两个开关用做控制投票开始和结束的总开关。 电容:用于单片机的最小系统。 发光二极管:用于表示投票开始与结束,和最后同意与反对票数的对比情况。 电阻:用于限流。

五人表决器的设计说明

《数字与逻辑电路基础》课程设计 ——五人表决器的设计 姓名: 学号:2015 学院:自动 任课教师:

目录................................................................... (2) 引言 (3) 摘要.............................................. 错误!未定义书签。实验设计原理...................................... 错误!未定义书签。实验步骤.......................................... 错误!未定义书签。真值表 (4) 卡诺图 (5) 电路图 (7) Multisim仿真截图 (8) 电路设计总结 (8)

引言: 现在火热的综艺节目都会请一些评委为参赛选手进行通过与否进行评判,最后给出通过与否的结果。而评委进行表决时,都会有不同结果,此时,就需要一个多人表决器,而本次设计是为五人表决结果的输出,解决了对每一位评委结果的分析,直接给出最终通过与否 的结果。 摘要: 74HC153芯片是两个四选一数选器共用两个地址码,两个四选一输出端分别输出,两个使能端分别控制,且为低电平有效。由于只有两个地址输入端,则需要构造第三个地址输入端,两四选一数选器分 区工作。 实验设计原理分析: 先用扩展法将74HC153设计构成三输入八选一数据选择器,再利用降维法实现五变量到三变量,最后加上适当的基础门电路即可实现五人多路表决器。最后用Multisim进行仿真实验。

实验步骤如下: 一.列出5人表决结果真值表。

七人表决器

学院名称电子技术基础课程设计报告 七人表决器电路设计报告 学生姓名__ _____ 学号 专业 指导教师 系别__ _ 年月日

一、评语(根据学生答辩情况及其报告质量综合评定)。 二、评分 指导教师签字: 年月日

摘要 本次设计的七人表决器,是投票系统中的客户端,是一种代表投票或举手表决的表决装置。表决时,与会的有关人员只要按动各自表决器上“赞成”“反对”“弃权”的某一按钮,相应灯的明亮即显示出表决结果。在七人表决器中七个人分别用手指拨动开关 SW1、SW2、SW3、SW4、SW5、SW6、SW7 来表示自己的意愿,如果对某决议同意,各人就把自己的指拨开关拨到高电平(上方)不同意就把自己的指拨开关拨到低电平(下方)。表决结果用 LED(高电平亮)显示,如果决议通过那么发光二极管会发亮;如果不通过那么发光二极管就不亮;如果对某个决议有任意四到七人同意,那么此决议通过,发光二极管就会发亮;如果对某个决议只有一个人或没人同意,那么此决议不通过,发光二极管就不会亮。根据设计与制作的主要内容按照设计题目,以及所学的组合逻辑所学的知识及数字电路和嵌入式的知识完成七人表决器的设计,使之能够满足表决时少数服从多数的表决规则,根据逻辑真值表和逻辑表达式完成表决功能。首先根据七人多数表决电路列出真值表,进行化简,写出逻辑表达式,画出逻辑图。

目录 1 概述 (1) 2 系统总体方案及硬件设计 (2) 2.1电路的总体原理框图 (2) 2.2元件选择 (2) 3 各模块设计 (3) 3.1投票按键部分电路设计 (3) 3.2输入转换部分及控制电路 (3) 3.3票数统计部分及控制电路 (4) 3.4票据分析与结果显示分 (5) 3.5总体电路 (7) 4 软件仿真 (8) 5 课程设计体会 (9) 参考文献(按照标准格式) (10)

四人表决器课程设计

电子课程设计报告 题目:设计四人表决器 课程:电子技术课程设计 学生姓名: 学生学号: 1414020221 年级: 2014级 专业:电子信息工程 班级: 2班 指导教师:赵旺 电子工程学院制 2016年5月

设计四人表决器 学生:任春晖 指导教师:赵旺 电子工程学院电子信息工程 1设计的任务与要求 1.1课程设计的任务 1.综合应用数字电路知识设计一个四电路表决器。了解各种元器件的原理及其应用。 2.深入了解表决器的工作原理。 3.掌握multisim软件的操作并对设计进行仿真。 4.锻炼自己的动手能力和实际解决问题的能力。 5.通过本设计熟悉中规模集成电路进行时序电路和组合电路设计的方法,掌握四人表决器的设计方法。 1.2课程设计的要求 当输入端有三个或三个以上的高电平,出入端才为高电平(即灯亮,表决通过)。否则灯不亮(表决不通过)。 2四人表决器方案制定 2.1表决电路设计的原理 使用中、小规模集成电路来设计组合电路是最常见的逻辑电路设计方法。设计组合电路的一般步骤如图一所示。 图一组合逻辑电路设计流程图

根据设计任务的要求建立输入、输出变量,并列出真值表。然后用逻辑代数或卡诺图化简法求出简化的逻辑表达式。并按实际选用逻辑门的类型修改逻辑表达式。根据简化后的逻辑表达式,画出逻辑图,用标准器件构成逻辑电路。最后,用实验来验证设计的正确性。 2.2表决电路的设计方案 设计中我们设A、B、C、D为表决人,若它们中有三个或三个以上同意(即为高电平1),则表决结果通过(即表决结果F为高电平1),否则表决不通过(即F为低电平0)。 ①根据步骤一中所述作出真值表: 表1 真值表

七人表决器

EDA技术课程设计设计题目:七人表决器设计 院系:电气信息学院 专业年级:电子信息工程2010级 学生姓名:XXX 学号:XXXXXXX 指导教师:XXXXX 时间:2013年1月13日

用VHDL 设计七人表决器 一、实验目的 1、熟悉 VHDL 的编程。 2、熟悉七人表决器的工作原理。 3、进一步了解实验系统的硬件结构。 二、实验原理 所谓表决器就是对于一个行为,由多个人投票,如果同意的票数过半,就认为此行为可行;否则如果否决的票数过半,则认为此行为无效。 七人表决器顾名思义就是由七个人来投票,当同意的票数大于或者等于4 时,则认为同意;反之,当否决的票数大于或者等于4时,则认为不同意。实验中用7个拨动开关来表示七个人,当对应的拨动开关输入为‘1’时,表示此人同意;否则若拨动开关输入为‘0’,则表示此人反对。表决的结果用一个LED表示,若表决的结果为同意,则 LED 被点亮;否则,如果表决的结果为反对,则 LED 不会被点亮。同时,数码管上显示通过的票数。 三、实验内容 本实验就是利用实验系统中的拨动开关模块和LED模 块以及数码管模块来实现一个简单的七人表决器的功能。 拨动开关模块中的K1~K7表示七个人,当拨动开关输入为 ‘1’时,表示对应的人投同意票,否则当拨动开关输入为

‘0’时,表示对应的人投反对票;LED 模块中 LED1 表示七人表决的结果,当 LED1 点亮时,表示此行为通过表决;否则当 LED1 熄灭时,表示此行为未通过表决。同时通过的票数在数码管上显示出来。 四、硬件系统组成框图 五、VHDL程序实现 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity bjq7 is

七人表决器实验

3.3实验三七人表决器设计 3.3.1实验目的 1、掌握用QuartusII软件设计基本数字系统流程及注意事项。 2、进一步熟练掌握程序的编译、仿真、生成模块及芯片引脚号码锁定方法。 3、掌握分层设计的方法和注意事项 4、在实验报告中,总结数字系统设计步骤及注意事项。 3.3.2实验内容 基于QuartusII软件及VHDL语言实现七人表决器。当参与表决的7人中有4个或4个以上赞同时,表决器输出“1”表示通过,否则输出“0”表示不通过,并显示赞成和反对的人数。用7个开关作为表决器的7个输入变量,数码管显示人数,LED灯显示是否通过。 本实验4学时。 3.3.3实验仪器 ZY11EDA13BE型实验箱。 3.3.4实验原理 分析实验要求,七人表决器系统主要由两个模块构成:投票计数模块和数码管显示模块。 一、建立项目 (1)新建文件夹。路径及文件名中不可出现汉字。 (2)新建项目。一个数字系统可以由多个模块构成,使所有模块连接在一起的总文件叫做顶层文件,只有顶层文件名可以且必须与项目名相同。项目取名为bjq7。 (3)选择芯片

二、建立文件 首先,建立各个VHDL功能模块。 1.投票计数模块。 (1)新建VHDL文件 编辑VHDL程序。投票计数模块输入为七个电平开关input,输出为同意的人数agree,反对的人数disagree,是否通过指示灯y,程序清单如下: library ieee;

use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity BJQ is port(input:in std_logic_vector(6downto0);七个输入开关agree:out std_logic_vector(3downto0);同意的人数 disagree:out std_logic_vector(3downto0);不同意的人数 y:out std_logic);是否通过标志 end; architecture one of BJQ is begin process(input) variable cnt:integer range0to7; variable cnt0:integer range0to7; begin cnt:=0; for i in6downto0loop if input(i)='1'then cnt:=cnt+1; end if; end loop; cnt0:=7-cnt; if cnt>3then y<='0'; else y<='1'; end if; case cnt is when0=>agree<="0000"; when1=>agree<="0001"; when2=>agree<="0010"; when3=>agree<="0011"; when4=>agree<="0100"; when5=>agree<="0101"; when6=>agree<="0110"; when7=>agree<="0111"; when others=>agree<="0000"; end case; case cnt0is when0=>disagree<="0000"; when1=>disagree<="0001"; when2=>disagree<="0010"; when3=>disagree<="0011"; when4=>disagree<="0100"; when5=>disagree<="0101"; when6=>disagree<="0110"; when7=>disagree<="0111";

三人表决器电路的设计与安装

三人表决器电路的设计与安装

一、实验目的 正确理解数字电路的要求,能知道与门、或门、非门的含义;做到了解要用到的每个芯片是什么门集成电路以及芯片的各个引脚都具有哪些功能,我们可以去图书馆查阅书籍也可上网去阅读相关的网页资料。做到能真正了解数字电路的构造原理,这样我们才可以更好地焊好我们想要实现的功能产品以及学好有关数字电路方面的知识。 二、实验要求 1)判断正确的引脚位置; 2)理解数字电路的原理,掌握操作步骤,能正确安装所选定的电路; 3)掌握测试仪表仪器检测原件的使用及调整; 4)会根据测试结果分析故障产生的原因; 5)会利用原理图纸,判断具体故障的原因; 6)会根据自己所仿真的电路原理图画出实物装配图。 三、实验所需元件清单如下表所示: 四、实验产品所需主要芯片介绍 74LS00芯片是常用的具有四组2输入端的与非门集成电路,74LS10芯片是常用的具有三组3输入端的与非门集成电路,他们的作用都是实现一个与非门。其引脚排列分别如下图所示。

74LS00管脚排列图 74LS10管脚排列图 五、三人表决器逻辑电路设计 5.1、设计要求:当A、B、C三人表决某个提案时,两人或两人以上同意,提案通过,否则提案不通过。用与非门实现电路。 设A、B、C三个人为输入变量,同意提案时用输入1表示,不同意时用输入0表示;表决结果Y为输出变量,提案通过用输出1表示,提案不通过用输出0表示。由此可列出真值表,如下表所示。

根据真值表,我们可以写出输出函数的与或表达式,即: 对上式进行化简,得: 将上式变换成与非表达式为: 故,根据输出逻辑表达式,我们可以画出逻辑图为: 5.2、三人表决器电路原理图(仿真图) 我们用发光二极管的状态来表示表决结果通过与否,当发光二级管点亮表示表决结果通过,熄灭表示表决结果不通过。三人A、B、C的表决情况用按钮来实现,按下按钮表示同意,不按表示不同意。 根据上述说明,结合前面的逻辑电路,可得到三人表决器的原理图(仿真图)为: 5.3、三人表决器电路的安装与调试 按安装电路图完成电路的组装后,通上+5v电源,按下输入端A、B、C 的按钮进行不同的组合,观察发光二极管的亮灭,验证电路的逻辑功能。如果 输出结果与输入中的多数一致,则表明电路功能正确,即多数人同意(电路中 用“1”表示),表决结果为同意;多数人不同意(电路中用“0”表示),表决 结果为不同意。 5.4、三人表决器产品正面实物图及反面焊接图 5.5、三人表决器产品实验现象与结果分析 实验现象:当电路板焊接完成后,通上+5v电源,分别同时按下按钮S1、 S2,S2、S3,S1、S3或S1、S2、S3,观察到发光二极管均能点亮,且当分别只按下

七人表决器实验报告

竭诚为您提供优质文档/双击可除七人表决器实验报告 篇一:哈工大电工学新技术实践实验报告-7人表决器 总成绩: 一、设计任务 1、有七人参与表决,显示赞同者个数。 2当赞同者达到及超过4人时,绿灯显示表示通过。 二、设计条件 本设计基于软件multisim10.0.1进行仿真,在电机楼实验室20XX5进行验证。 三、设计要求 1、熟悉74Ls161,74Ls151,数码管的工作原理。 2、设计相应的电路图,标注元件参数,并进行仿真验证。 四、设计内容 1.电路原理图(含管脚接线)电路原理图如图1所示 图1电路原理图 2.计算与仿真分析

仿真结果如图2、3、4所示 图2仿真结果 图4仿真结果 4.调试流程 调试流程如图5所示 图5调试流程 5.设计和使用说明 74Ls151芯片为互补输出的8选1数据选择器,引脚排列如图6所示,功能见表1。选择控制端(地址端)为c~A,按二进制译码,从8个输入数据D0~D7中,选择一个需要的数据送到输出端Y,g为使能端,低电平有效。 (1)使能端g=1时,不论c~A状态如何,均无输出(Y=0,w=1),多路开关被禁止。 (2)使能端g=0时,多路开关正常工作,根据地址码c、b、A的状态选择D0~D7中某一个通道的数据输送到输出端Y。如:cbA=000,则选择D0数据到输出端,即Y=D0。如:cbA=001,则选择D1数据到输出端,即Y=D1,其余类推。 图674Ls151引脚排列 表174Ls151功能表 74Ls161功能: (1)异步置“0”功能:接好电源和地,将清除端接低

电平无论其他各输入端的状态如何,测试计数器的输出端,如果操作无误Q3~Q0均为0。 (2)预置数功能:将清除端接高电平,预置控制端接低电平,数据输入端D3~D0置0011,在cp的上升沿作用后,测试输出端Q3~Q0的电平。如果操作准确,D3~D0的数据为0011,说明D3~D0的数据已预置到Q3~Q0端。 (3)计数和进位功能:将LD、cr、ceT、cep端均接高电平,cLK端输入单脉冲,记录输出端状态。如果操作准确,每输入一个cp 脉冲,计数器就进行一 篇二:课程设计报告---七人表决器设计 电子综合设计 题目 学院 专业 班级学生姓名指导教师 七人抢答器设计计信学院电子信息工程 20XX年6月18日 一、设计原理 所谓表决器就是对于一个行为,由多个人投票,如果同意的票数过半,就认为此行为可行;否则如果否决的票数过半,则认为此行为无效。七人表决器顾名思义就是由七个人

四人表决器电路设计资料

名称:综合训练项目一题目:四人表决器电路设计 专业: 班级: 姓名: 学号: 辽宁工程技术大学 《数字电子技术》 综合训练项目一成绩评定表

《综合训练项目一》任务书 一、综合训练题目 四人表决器电路设计 二、目的和要求 1、目的:会运用不同类型门电路或中、小规模集成电路,设计简单组合电路,学习仿真软件应用,学习word文档制作。 2、要求:设计一个四人表决器,按少数服从多数规则,三人或三人以上同意,则通过。利用绿、红两种颜色灯代表是否通过,并用数码管显示同意人数;用门电路或中规模集成电路译码器、数选器、加法器等完成控制任务;有研究方案比较,能够应用相关仿真软件绘制逻辑图,用仿真软件验证电路功能。 成果形式:每小组提交综合训练报告一份;现场或视频答辩;有能力的同学制作实物。 上交时间:在讲授完第四章中的组合电路设计知识点后的一周之内提交。 三、训练计划 项目综合训练课下1周,课上1节。 第1天:针对选题查资料,确定整体设计方案; 第2~3天:学习Multisim仿真软件,熟悉Visio绘图软件。 第4~5天:论证电路设计,利用仿真软件仿真设计电路,观察能否达到设计要求;; 第6~7天:按格式要求编写整理设计报告。 四、设计要求 1. 每名同学按照自己分配的任务要求完成训练。 2. 绘图统一采用Visio2010。 指导教师: 日期:2017 年月 日

四人表决器在我们生活中应用非常广泛,比如表决等。掌握四人表决器的工作原理,对我们理解和掌握表决器具有重要意义。 本次的课程设计就是利用数字电子技术的知识做一个四人表决器。在mulitisim软件中,利用集成电路,通过四片74LS183和一片74LS48芯片连接到LED数码管上,一个显示赞成人数;再通过与门和非门,实现通过与否的判决。经过仿真,符合四人表决的功能。 关键词:四人表决器;74LS183;74LS84;LED数码管

七人表决器课程设计报告

课程设计 课程名称硬件描述语言与EDA技术 题目名称硬件描述语言与EDA技术实践学生学院材料与能源 专业班级 11微电子学(1)班 学号 学生姓名 指导教师 2014年6月27日

广东工业大学课程设计任务书 题目名称硬件描述语言与EDA技术实践 学生学院材料与能源学院 专业班级11微电子学(1)班 姓名 学号 一、课程设计的内容与要求 1.系统功能分析,分模块层次化设计; 2.实现系统功能的方案设计; 3.编写各功能模块VHDL语言程序; 4.对各功能模块进行编译、综合、仿真和验证; 5.顶层文件设计,可用VHDL语言设计,也可以用原理图设计; 6.整个系统进行编译、综合、仿真和验证; 7.在CPLD/FPGA实验开发系统试验箱上进行硬件验证; 8.按所布置的题目要求,每一位学生独立完成全过程。 二、课程设计应完成的工作 1.所要求设计内容的全部工作; 2.按设计指导书要求提交一份报告书; 3.提交电子版的设计全部内容:工程目录文件夹中的全部内容,报告书

三、课程设计进程安排 序号设计各阶段内容地点起止日期 1 布置设计题目和要求;收集相关资料。工3-317或宿舍 6.23 2 方案分析与确定;编写VHDL源程序。工3-317或宿舍 6.24 3 编写VHDL源程序;编译、综合、仿真、 定时分析、适配。 工3-317或宿舍 6.25 4 下载和硬件验证;验收。工3-317 6.26 5 下载和硬件验证;验收;撰写报告工3-317 6.27 6 7 8 四、应收集的资料及主要参考文献 1.陈先朝,硬件描述语言与EDA技术实践指导书,2014年3月 2.曹昕燕等编著,EDA技术实验与课程设计,清华大学出版社,2006年5 月 3.刘欲晓等编著,EDA技术与VHDL电路开发应用实践,电子工业出版社, 2009年4月 4.刘昌华等编著,数字逻辑EDA设计与实践:MAX+plusⅡ与QuartusⅡ双 剑合璧,国防工业出版社,2009年 5.刘江海主编,EDA技术课程设计,华中科技大学出版社,2009年1月 发出任务书日期: 2014年6月 23日指导教师签名: 计划完成日期: 2014年6月 27日基层教学单位责任人签章: 主管院长签章:

三人表决器实验报告

电子技术与仿真 项目报告 项目名称:三人表决器的制作 专业班级:电气1 2 1 姓名:刘斌 学号: 2 4 指导教师:张琴 江西工业工程职业技术学院电工电子实训中心

一、项目目的 正确理解数字电路的要求,能知道与门、或门、非门的含义;做到了解要用到的每个芯片的引脚是什么门电路,可以去图书馆查阅书籍也可上网去阅读相关的网页资料。做到能真正了解电路的构造原理,这样才可以更好学好这门电路的元器件的应用。 二、项目要求 1)判断正确的引脚位置; 2)理解数字电路的原理,掌握操作步骤,能正确安装所选定的电路; 3)掌握测试仪表仪器检测原件的使用及调整; 4)会根据测试结果分析故障产生的原因; 5)会利用原理图纸,判断具体故障的原因; 6)必须得出实验的仿真结果; 7)根据自己所仿真的电路原理图画出实物装配图。 三、项目内容 1、原理图 2、工作原理介绍 电路由74LS08的三个与门电路和74LS32两个或门电路构成,当A、B、C中任意两人按下按钮后,工作电路向74ls08中任意一个与门电路输入端输入两个高电平,输出端Y输入进74ls32任意一个或门电路中;电路只要满足一个条件即输出端有电压输

出;所以发光二极管能点亮。 3、元件清单 4、芯片 ① 74LS08 实物图 引脚图 真值表 元件序号 主要参数 数 量 R1 1K Ω 3 R2 360Ω 1 U1 74LS08 1 U2 74LS32 1 按钮开关 3 发光二极管 1 A B Y 0 0 0 0 1 0 1 0 0 1 1 1

实物图引脚图 真值表 5、电路板安装 A B Y 000 011 101 111

课程设计试验报告三人表决器

武汉纺织大学《数字逻辑》课程设计报告 题目:三裁判表决器 院系:数学与计算机学院 专业班级:计科094 学号:0904681223 学生姓名:李勤 指导教师:朱勇 2011年 5 月20 日

一、 引言 通过对传统数字电路的设计,掌握对数字逻辑设计概念的熟悉。 二、系统介绍: 主要仪器是TOS-2数字电路实验系统。选用的芯片是74LS151 8-1数据选择器。通过设计好的逻辑表达式,在TOS--2数字电路上选择好个个需要连入的拐脚,进行连线。实现三人表决器的功能。 三、设计任务及设计原理: 引脚图 功能表 设计有三个变量输入A ,B C ,二个输出 W ,F,其中F=W , 逻辑表达式: F=ABC C AB C B A BC A +++。A,B,C 通过三个开关相接,把D0---D7设置好之后, 16 15 14 13 12 11 10 9 74LS151 1 2 3 4 5 6 7 8V CC D 4 D 5 D 6 D 7 A 0 A 1 A 2D 3 D 2 D 1 D 0 Y Y S GND 输 入输 出D A 2 A 1 A 0 S Y Y × × × × 1D 0 0 0 0 0 D 1 0 0 1 0 D 2 0 1 0 0D 3 0 1 1 0D 4 1 0 0 0D 5 1 0 1 0D 6 1 1 0 0D 7 1 1 1 00 1D 0 0D D 1 1D D 2 2D D 3 3D D 4 4D D 5 5D D 6 6D D 7 7D

就可以通过调节开关来输入。输出接到一个LED灯,如果通过,那么灯就亮,否则的话,灭。 74LS151 0 G A A B B C C D0 D1 Y Y 0 D3 D3 W D4 1 D5 D6 D7 方法之一 四、代码清单:(机房答辩,提交代码) 只需要连线,无代码。 五、程序调试心得体会: 第一次线连接好之后,首先试验的是逻辑功能的正确性。用了两个LED灯,来作为输出,三个开关组合成A,B,C的八种状态。确保正确性之后,在进行的验证三人表决器。试验顺利,没有遇到困难。 六、参考文献: [1] 朱勇,数字逻辑,中国铁道出版社,2007.12 [2] 夏宇闻,Verilog DHL 入门,北京航空大学出版社,2007.5 七、致谢:感谢同学袁盼的一起合作,在连线过程中遇到问题时,一起商量。

七人表决器的设计

硬 件 课 程 设 计 课题名称:七人多数表决电路的设计

七人多数表决电路的设计 课题: 题目:七人多数表决电路的设计 要求:用VHDL文本输入法设计一个7人多数表决电路 难度:10分 摘要: 所谓表决器就是对于一个行为,由多个人投票,如果同意的票数过半,就认为此行为可行;否则如果否决的票数过半,则认为此行为无效。 七人表决器顾名思义就是由七个人来投票,当同意的票数大于或者等于4人时,则认为同意;反之,当否决的票数大于或者等于4人时,则认为不同意。实验中用7个拨挡开关来表示七个人,当对应的拨挡开关输入为‘1’时,表示此人同意;否则若拨挡开关输入为‘0’时,则表示此人反对。表决的结果用一个LED灯表示,若表决的结果为同意,则LED灯亮;否则,如果表决的结果为反对,则LED不会亮。 关键词: 七人,多数,表决器,全加器,半加器。 设计要求 (1)根据设计要求,绘制出电路状态转换图,实现七人四票制表决。 (2)根据电路状态转换图,用门电路设计出七人表决器。 (3)运用半加器,全加器,实现表决器的设计。 (4)逻辑设计要求:用七个开关作为表决器的七个输入变量,逻辑“1”时表示“赞同”,逻辑“0”时表示“不赞同”,用发光二极管作为输出指令,输出逻辑“1”表示“通过”;输出逻辑“0”时表示“不通过”。当表决器的七个输入变量中的4个以上(包含4个)为“1”时,则表决器输出为“1”;否则为“0”。 设计步骤: 表决结果与多数人意见相同。 设A1,A1,A2,A3,A4,A5,A6,A7为七个人(输入逻辑变量),赞成为1,不赞成为0;

green和red为表决结果(输出逻辑变量),多数赞成green为1,red为0,否则,green为0,red为1,. 其真值表如表(1)所示。 (1)电路状态转换图: 表(1) 从真值表发现,A1 A2 A3 A4 A5 A6 A7中有四个或四个以上表示赞同,则绿灯亮,否则红灯亮。 根据电路状态转换图设计电路,用一个半加器实现设计一个全加器,运用4个全加器实现七人表决器的设计。 (2)半加器电路设计:

基于VerilogHDL的表决器的设计

学生课程实验报告书 12 级电通系 通信工程专业 03 班 学号 312890 姓名 2014--2015学年第 2 学期 实验项目:基于Verilog HDL的表决器的设计 实验时间: 2015.6.07 实验目的: 1、熟悉Verilog HDL的编程。 2、熟悉七人表决器的工作原理。 3、进一步了解实验系统的硬件结构。 实验原理: 所谓表决器就是对于一个行为,由多个人投票,如果同意的票数过半,就认为此行为可行;否则如果否决的票数过半,则认为此行为无效。 七人表决器顾名思义就是由七个人来投票,当同意的票数大于或者等于4时,则认为同意;反之,当否决的票数大于或者等于4时,则认为不同意。实验中用7个按键开关来表示七个人,当对应的按键开关输入为‘1’时,表示此人同意;否则若按键开关输入为‘0’,则表示此人反对。表决的结果用一个LED表示,若表决的结果为同意,则LED被点亮;否则,如果表决的结果为反对,则LED不会被点亮。同时,数码管上显示通过的票数。 实验内容: 本实验就是利用实验系统中的按键开关模块和LED模块以及数码管模块来实现一个简单的七人表决器的功能。按键开关模块中的键1~键7表示七个人,当按键开关输入为‘1’时,表示对应的人投同意票,否则当按键开关输入为‘0’时,表示对应的人投反对票;LED 模块中D1表示七人表决的结果,当LED1点亮时,表示此行为通过表决;否则当LED1熄灭时,表示此行为未通过表决。同时通过的票数在数码管上显示出来。 实验步骤:原理图步骤与实验一相同 模式选择键”:按动该键能使实验板产生12种不同的实验电路结构。本次实验的模式选择到“5”(红色数码管上显示)。 用下载电缆通过JTAG口将对应的sof文件加载到FPGA中。观察实验结果是否与自己的编程思想一致

四人表决器电路设计资料

{ 名称:综合训练项目一题目:四人表决器电路设计 ? 专业: 班级: 姓名: 学号: ` 辽宁工程技术大学 《数字电子技术》 * 综合训练项目一成绩评定表

《综合训练项目一》任务书 一、综合训练题目 四人表决器电路设计 二、目的和要求 1、目的:会运用不同类型门电路或中、小规模集成电路,设计简单组合电路,学习仿真软件应用,学习word文档制作。 2、要求:设计一个四人表决器,按少数服从多数规则,三人或三人以上同意,则通过。利用绿、红两种颜色灯代表是否通过,并用数码管显示同意人数;用门电路或中规模集成电路译码器、数选器、加法器等完成控制任务;有研究方案比较,能够应用相关仿真软件绘制逻辑图,用仿真软件验证电路功能。 成果形式:每小组提交综合训练报告一份;现场或视频答辩;有能力的同学制作实物。 上交时间:在讲授完第四章中的组合电路设计知识点后的一周之内提交。 三、训练计划 项目综合训练课下1周,课上1节。 第1天:针对选题查资料,确定整体设计方案; 第2~3天:学习Multisim仿真软件,熟悉Visio绘图软件。 第4~5天:论证电路设计,利用仿真软件仿真设计电路,观察能否达到设计要求;; 第6~7天:按格式要求编写整理设计报告。 四、设计要求 1. 每名同学按照自己分配的任务要求完成训练。 2. 绘图统一采用Visio2010。 指导教师: 日期:2017 年月 日

四人表决器在我们生活中应用非常广泛,比如表决等。掌握四人表决器的工作原理,对我们理解和掌握表决器具有重要意义。 本次的课程设计就是利用数字电子技术的知识做一个四人表决器。在mulitisim软件中,利用集成电路,通过四片74LS183和一片74LS48芯片连接到LED数码管上,一个显示赞成人数;再通过与门和非门,实现通过与否的判决。经过仿真,符合四人表决的功能。 关键词:四人表决器;74LS183;74LS84;LED数码管

实验报告及心得体会

实验报告及心得体会 实验名称:七人表决器 实验目的:通过Max+plus2软件实现七人表决功能,熟练掌握用Max+plus2实现七人表决器的操作步骤 实验步骤: 1.打开Max+plus2软件 2.打开file-open,在open对话框的Text Editor files选择后缀为*.vhd文件格式 3.选择files为VOTE7.vhd的文件,单击ok,出现源程序文本 4.单击file-project-set project to…,单击Assign-Device选择驱动,在弹出的Device窗口下选择Device为EPF1OK1OLC84-4,单击ok 5.单击Assign-pin/location/chip…进行引脚锁定。例如,输入从men0到men6,对应的input pin为28-30、35-38,在Node name中输入pass,对应输出pin为V ote7,output pin为23,在Node name中输入stop chip name为vote7,output pin为65,单击ok 6.单击菜单下的compiler选项,在出现的窗口下单击start完成综合,单击确定,关闭窗口 7.单击菜单下的programmer选项,若未出现Hardware setup窗口,则打开options菜单下的Hardware setup选项,在弹出的窗口下,选择Hardware type为Byteblaster(MV)选项,单击ok,然后再单击configure,完成进程 8.使用仪器通过仪器观察,在K1-K8的八个输出发光二极管中(程序中选择七个)开始时都不亮。在L1-L11,这十一个发光二极管中有L6开始时亮,L11不亮,依次按下K1-K8中的四个发光二极管的按钮使其发光,则L6熄灭,L11发光,说明实现了七人表决器 实验结果:输出发光二极管K1-K8中有4个灯亮时,L6熄灭,L11发光,说明7人中只要有四人同意时,表决成功 心得体会 通过老师的实验演示,我基本明白了Max+plus2软件的使用方法。在试验过程中可能会出现一些问题,但通过老师的实验演示和清楚的强调,我明白了在使用软件设计七人表决器的过程中会遇到的问题和需要注意的地方。例如,在使用仪器箱的过程中,要注意线口的接线,切勿插反以致损坏接口,另外在使用之前先检查仪器箱的完整性。 在建立项目文件文件时,要选择正确且方便使用的文件路径,注意文件的保存,在建立完项目文件后,开始利用相关程序进行实验。在实验过程中,首先要了解各个菜单的功能,因为全是英文菜单,老师给我们介绍了相关的菜单使用,其中有一项就是在综合和进程之前,要使用projict菜单下的set project to current file选项,另外就是在选择Hardware type时要选择Byteblaster(MV),还有就是在进程的过程中记得前面的要点,主要注意的就是这两个方面。 实验的思路很简单,因为是第一次利用Max+plus2软件实现七人表决器的设计,所以熟练度还需经常练习,但基本已经清楚了其运用过程。在老师的引导下使我熟悉了这个软件并实现了七人表决器,当然在实验的过程中我也遇到了一些问题,通过请教老师我已得到了解决,相信在下次运用此软件我会避免出现类似的问题,这次实验又让我学会了一门知识,并通过与本门课程的结合,我渐渐的明白了要想学好专业课,熟练掌握相关软件的运用也是

相关文档