文档库 最新最全的文档下载
当前位置:文档库 › 数字电子技术复习考试题

数字电子技术复习考试题

数字电子技术复习考试题
数字电子技术复习考试题

一、填空题:

1、由二值变量所构成的因果关系称为逻辑关系。能够反映和处理逻辑关系的数学工具称为逻辑代数。

2、在正逻辑的约定下,“1”表示高电平,“0”表示低电平。

3、数字电路中,输入信号和输出信号之间的关系是逻辑关系,所以数字电路也称为逻辑电路。在逻辑关系中,最基本的关系是与逻辑、或逻辑和非逻辑。

4、用来表示各种计数制数码个数的数称为基数,同一数码在不同数位所代表的权不同。十进制计数各位的基数是10,位权是10的幂。

5、8421 BCD码和2421码是有权码;余3码和格雷码是无权码。

6、进位计数制是表示数值大小的各种方法的统称。一般都是按照进位方式来实现计数的,简称为数制。任意进制数转换为十进制数时,均采用按位权展开求和的方法。

7、十进制整数转换成二进制时采用除2取余法;十进制小数转换成二进制时采用乘2取整法。

8、十进制数转换为八进制和十六进制时,应先转换成二进制,然后再根据转换的二进数,按照三个数码一组转换成八进制;按四个数码一组转换成十六进制。

9、逻辑代数的基本定律有交换律、结合律、分配律、反演律和非非律。

10、最简与或表达式是指在表达式中与项中的变量最少,且或项也最少。

13、卡诺图是将代表最小项的小方格按相邻原则排列而构成的方块图。卡诺图的画图规则:任意两个几何位置相邻的最小项之间,只允许一位变量的取值不同。

14、在化简的过程中,约束项可以根据需要看作1或0。

15、逻辑代数又称为布尔代数,数字逻辑中的有与, 或, 非基本逻辑运算。

16、逻辑函数有四种表示方法,它们分别是真值表、逻辑图、逻辑表达式和卡诺图。

18、数字信号的特点是在时间上和幅度上都是断续变化的,其高电平和低电平常用1 和0 来表示。

19、在数字电路中,常用的计数制除十进制外,还有二进制、八进制、十六进制。

20、(10110010.1011)2=( 262. 54 )8=( B2. B )16。

21、( 35.4)8 =(011101.100) 2 =(29. 5)10 =( 2D.8 )16=( 00101001.0101 )8421BCD。

22、(39.75 )10=(100111.11 )2=( 47.6 )8=( 72.C )16。

23、逻辑函数 F= A +B+ CD 的反函数 F = )(D C B A + 。

24、逻辑函数F=A +B+C D 的反函数F = A B (C+D ) 。

25、逻辑代数运算的优先顺序为 非 、 与 、 或

二、判断正误题

2、异或函数与同或函数在逻辑上互为反函数。 ( 对 )

3、8421BCD 码、2421BCD 码和余3码都属于有权码。 ( 错 )

4、二进制计数中各位的基是2,不同数位的权是2的幂。 ( 对 )

3、每个最小项都是各变量相“与”构成的,即n 个变量的最小项含有n 个因子。( 对 )

4、因为逻辑表达式A+B+AB=A+B 成立,所以AB=0成立。 ( 错 )

5、逻辑函数F =A B +A B+B C+B C 已是最简与或表达式。 ( 错 )

6、利用约束项化简时,将全部约束项都画入卡诺图,可得到函数的最简形式。( 错 )

7、卡诺图中为1的方格均表示逻辑函数的一个最小项。 ( 对 )

8、在逻辑运算中,“与”逻辑的符号级别最高。 ( 错 )

9、标准与或式和最简与或式的概念相同。 ( 对 )

10、二极管和三极管在数字电路中可工作在截止区、饱和区和放大区。 ( 错 ) 11、8421 码1001 比0001大。 ( 对 )

12、数字电路中用“1”和“0”分别表示两种状态,二者无大小之分。 ( 对 )

13、格雷码具有任何相邻码只有一位码元不同的特性。 ( 对 )

14、在时间和幅度上都断续变化的信号是数字信号,语音信号不是数字信号。( 错 )

三、选择题

1、逻辑函数中的逻辑“与”和它对应的逻辑代数运算关系为( B )。

A 、逻辑加

B 、逻辑乘

C 、逻辑非

2、十进制数100对应的二进制数为( C )。

A 、1011110

B 、1100010

C 、1100100

D 、11000100 3、和逻辑式AB 表示不同逻辑关系的逻辑式是( B )。

A 、

B A + B 、B A ?

C 、B B A +?

D 、A B A +

4、数字电路中机器识别和常用的数制是( A )。

A 、二进制

B 、八进制

C 、十进制

D 、十六进制

5、以下表达式中符合逻辑运算法则的是( D )。

A 、C ·C=C 2

B 、1+1=10

C 、0<1

D 、A+1=1

6、A+BC=( C )。

A 、A+

B B 、A+

C C 、(A+B )(A+C )

D 、B+C

7、在( D )输入情况下,“与非”运算的结果是逻辑0。

A 、全部输入是0

B 、任一输入是0

C 、仅一输入是0

D 、全部输入是1

8、逻辑变量的取值1和0可以表示( ABCD )。

A 、开关的闭合、断开

B 、电位的高、低

C 、真与假

D 、电流的有、无 9、求一个逻辑函数F 的对偶式,可将F 中的( ACD )。

A .“·”换成“+”,“+”换成“·”

B 、原变量换成反变量,反变量换成原变量

C 、变量不变

D 、常数中“0”换成“1”,“1”换成“0”

10、在( BCD )输入情况下,“或非”运算的结果是逻辑0。

A 、全部输入是0

B 、全部输入是1

C 、任一输入为0,其他输入为1

D 、任一输入为1

11、n 个变量函数的最小项是( C )

A 、n 个变量的积项,它包含全部n 个变量

B 、n 个变量的荷香,它包含n 个变量

C 、每个变量都以原、反变量的形式出现,且仅出现一次

D 、N 个变量的和项,它不包含全部变量

12、逻辑函数F=(A+B )(A+C )(A+D )(A+E )=( B )

A 、AB+AC+AD+AE

B 、A+BCED

C 、(A+BC )(A+DE )

D 、A+B+C+D

13、表示最大的3位十进制数,需要( C )位二进制数

A 8

B 9

C 10

D 11

14、函数F=AB+BC ,使F=1的输入ABC 组合为( D )

A .ABC=000

B .ABC=010

C .ABC=101

D .ABC=110

15、以下代码中为无权码的为( C D )。

A .8421BCD 码;

B .5421BCD 码;

C .余三码;

D .格雷码。

16、以下代码中为恒权码的为 ( A B )。

A .8421BCD 码;

B . 5421BCD 码;

C . 余三码;

D . 格雷码。

17、.一位十六进制数可以用( C )位二进制数来表示。

A .1;

B .2;

C .4;

D .16。

18、十进制数25用 8421BCD 码表示为 ( B )。

A .10 101;

B .0010 0101;

C .100101;

D .10101。

19、与十进制数(53.5)10等值的数或代码为 ( A B C D )。

A .(0101 0011. 0101)8421BCD ;

B .(35. 8)16;

C .(110101. 1)2;

D .(65. 4)8。

20、与AB A C BC ++相等的表达式是( A )。

A 、A

B A

C + B 、AB BC + C 、AB+C

D 、AC BC +

21、下列表达式中正确的是( D )。

A 、1.0=1

B 、1+0=0

C 、1+A=A

D 、1+1=1 22、与ABC ABC C ++相等的表达式是( C )。

A 、A

B A

C + B 、AB BC + C 、C

D 、AC BC +

23、下列表达式中错误的是( C )。

A 、A+A =1

B 、1+0=1

C 、1+A=A

D 、1+1=1

24、 +17的8位二进制反码是( D )

A:11110001 B:11101111 C:01101111 D:00010001

25、-17的8位二进制补码是( B )

A:11110001 B:11101111 C:01101111 D:00010001

26、三变量的全部最小项有( C )

A:3个 B:6个 C:8个 D:9个

27、下列说法不正确的是( C )

A:逻辑代数有与、或、非三种基本运算

B:任何一个复合逻辑都可以用与、或、非三种基本运算构成

C:异或和同或与与、或、非运算无关

D:同或和异或互为反运算

28、下列说法不正确的是( D )

A:同一个逻辑函数的不同描述方法之间可相互转换

B:任何一个逻辑函数都可以化成最小项之和的标准形式

C:具有逻辑相邻性的两个最小项都可以合并为一项

D:任一逻辑函数的最简与或式形式是唯一的

第2单元

一、填空题:

1、基本逻辑关系的电路称为 逻辑门 ,其中最基本的有 与门 、 或门 和 非 门。常用的复合逻辑门有 与非 门、 或非 门、 与或非 门、 异或 门和 同或 门。

2、功能为“有0出1、全1出0”的门电路是 与非 门;具有“ 有1出1,全0出0 ”功能的门电路是或门;实际中集成 与非 门应用的最为普遍。

3、当外界干扰较小时,TTL 与非 门闲置的输入端可以 悬空 处理;TTL 或非 门不使用的闲置输入端应与 地 相接;CMOS 门输入端口为“与”逻辑关系时,闲置的输入端应接 高 电平,具有“或”逻辑端口的CMOS 门多余的输入端应接 低 电平;即CMOS 门的闲置输入端不允许 悬空 。

4、三态门的三种状态是指___0____、___1___、____高阻__。

5、TTL 与非门的多余输入端悬空时,相当于输入_____高____电平

二、判断正误题

1、所有的集成逻辑门,其输入端子均为两个或两个以上。 ( 错 )

2、根据逻辑功能可知,异或门的反是同或门。 ( 对 )

4、逻辑门电路是数字逻辑电路中的最基本单元。 ( 对 )

5、TTL 和CMOS 两种集成电路与非门,其闲置输入端都可以悬空处理。 ( 错 )

6、74LS 系列产品是TTL 集成电路的主流,应用最为广泛。 ( 对 )

7、TTL 与非门的多余输入端可以接固定高电平。 ( 对 )

三、选择题

1、具有“有1出0、全0出1”功能的逻辑门是( B )。

A 、与非门

B 、或非门

C 、异或门

D 、同或门

2、两个类型的集成逻辑门相比较,其中( B )型的抗干扰能力更强。

A 、TTL 集成逻辑门

B 、CMOS 集成逻辑门

3、CMOS 电路的电源电压范围较大,约在( B )。

A 、-5V~+5V

B 、3~18V

C 、5~15V

D 、+5V

4、( A )在计算机系统中得到了广泛的应用,其中一个重要用途是构成数据总线。

A 、三态门

B 、TTL 与非门

C 、OC 门

5、一个两输入端的门电路,当输入为1 0时,输出不是1的门电路为( C )。

A 、与非门

B 、或门

C 、或非门

D 、异或门

6、如图所示,电路输入与输出间实现的功能是( A )。

A 、与

B 、或

C 、与非

D 、或非 7、如右图所示,是由二极管构成的( B )。

A. 与门

B. 或门

C. 与非门

D. 或非门

8、TTL 同或门和CMOS 同或门比较,它们的逻辑功能一样吗?

A B

A:一样

B:不一样

C:有时一样,有时不一样

D:不确定

3、试写出图2.48所示数字电路的逻辑函数表达式,并判断其功能。(8分)

输入变量中有两个或两个以上为1时,输出才为1,因此电路功能为多数表决器电路。

第3单元能力训练检测题

一、填空题:

1、能将某种特定信息转换成机器识别的二进制数码的组合逻辑电路,称之为

编码器;能将机器识别的二进制数码转换成人们熟悉的十进制或某种特定信息

的 组合 逻辑电路,称为 译码 器;74LS85是常用的 组合 逻辑电路 译码 器。

2、在多数数据选送过程中,能够根据需要将其中任意一路挑选出来的电路,称之为 数据选择 器,也叫做 多路 开关。

3、74LS147是 10 线— 4 线的集成优先编码器;74LS148芯片是 8 线— 3 线的集成优先编码器。

4、74LS148的使能端=S 为低电平 时允许编码;当=S 1 时各输出端及E O 、S G 均封锁,编码被禁止。

5、两片集成译码器74LS138芯片级联可构成一个 4 线— 16 线译码器。

6、LED 是指 半导体 数码管显示器件。半导体数码显示器的内部接法有两种形式:共 阳极 接法和共 阴极 接法。

7、组合逻辑电路的逻辑特点是,任意时刻的输出状态仅取决于该时刻的当前输入状态,而与信号作用前的电路无关。

8、两二进制数相加时,不考虑低位的进位信号是 半 加器。

74LS138是3线—8线译码器,译码为输出低电平有效,若输入为A 2A 1A 0=110时,输出 01234567Y Y Y Y Y Y Y Y 应为 10111111 。

二、判断正误题

1、组合逻辑电路的输出只取决于输入信号的现态。 ( 对 )

2、3线—8线译码器电路是三—八进制译码器。 ( 错 )

3、已知逻辑功能,求解逻辑表达式的过程称为逻辑电路的设计。 ( 对 )

4、编码电路的输入量一定是人们熟悉的十进制数。 ( 错 )

5、74LS138集成芯片可以实现任意变量的逻辑函数。 ( 错 )

6、组合逻辑电路中的每一个门实际上都是一个存储单元。 ( 错 )

7、共阴极结构的显示器需要低电平驱动才能显示。 ( 错 )

8、只有最简的输入、输出关系,才能获得结构最简的逻辑电路。 ( 对 )

三、选择题

1、下列各型号中属于优先编码器是( C )。

A 、74LS85

B 、74LS138

C 、74LS148

D 、74LS48

2、七段数码显示管TS547是( B )。

A 、共阳极LED 管

B 、共阴极LED 管

C 、共阳极LC

D 管 D 、共阴极LCD 管

3、八输入端的编码器按二进制数编码时,输出端的个数是( B )。

A 、2个

B 、3个

C 、4个

D 、8个

4、四输入的译码器,其输出端最多为( D )。

A 、4个

B 、8个

C 、10个

D 、16个

5、当74LS148的输入端70~I I 按顺序输入11011101时,输出02~Y Y 为( C )。

A 、101

B 、010

C 、001

D 、110

6、译码器的输入量是( A )。

A 、二进制

B 、八进制

C 、十进制

D 、十六进制

7、编码器的输出量是( A )。

A 、二进制

B 、八进制

C 、十进制

D 、十六进制

8、组合逻辑电路一般由( A )组合而成

A 、门电路

B 、触发器

C 、计数器

D 、寄存器

9、以下哪个编码不能是二-十进制译码器的输入编码( B )

A 0000

B 1010

C 1001

D 0011

10、8线—3线优先编码器的输入为I 0—I 7 ,当优先级别最高的I 7有效时,其输出012Y Y Y ??的值是( C )。

A .111 B. 010 C. 000 D. 101

11、十六路数据选择器的地址输入(选择控制)端有( C )个。

A .16 B.2 C.4 D.8

12、已知74LS138译码器的输入三个使能端(S 1=1, S 2 = S 3=0)时,地址码A 2A 1A 0=011,则输出 Y 7 ~Y 0是( C ) 。

A. 11111101

B. 10111111

C. 11110111

D. 11111111

13、 3线—8线译码器74HC138,当片选信号S 1 S 2 S 3为()时,芯片被选通 ( B ) A:010 B:100 C:001 D:101

14、函数F=C B AB C A ++当变量取值为( B )时,将不出现冒险现象

A:B=C=1 B:B=C=0 C:A=1,C=0 D:A=0,B=0 15、8线—3线优先编码器74HC148输入端I 1、I 5同时有效时输出二进制数为 ( D ) A:101 B:100 C:001 D:010

16、 在下列逻辑电路中,不是组合逻辑电路的有 ( D )

A:译码器 B:编码器 C:全加器 D:寄存器

17、一个8选一数据选择器的数据输入端有( D )

A:1 B:2 C:4 D:8

18、一个16选一的数据选择器,其地址输入(选择控制输入)端有( C )个 A:1 B:2 C:4 D:16

第4单元 能力训练检测题

一、填空题:

1、两个与非门构成的基本RS 触发器的功能有 置0 、 置1 和 保持 。电路中

不允许两个输入端同时为 低电平 ,否则将出现逻辑混乱。

2、通常把一个CP 脉冲引起触发器多次翻转的现象称为 空翻 ,有这种现象的触发器是 钟控的RS 触发器,此类触发器的工作属于 电平 触发方式。

3、为有效地抑制“空翻”,人们研制出了 边沿 触发方式的 主从型JK 触发器和 维持阻塞型D 触发器。

4、JK 触发器具有 置0 、 置1 、 保持 和 翻转 四种功能。欲使JK 触发器实现n n Q Q =+1的功能,则输入端J 应接 高电平1 ,K 应接 高电平1 。

5、D 触发器的输入端子有 1 个,具有 置0 和 置1 的功能。

6、触发器的逻辑功能通常可用 特征方程 、 状态转换图 、 功能真值表 和 时序波形图 等多种方法进行描述。

7、组合逻辑电路的基本单元是 门电路 ,时序逻辑电路的基本单元是 触发器 。

8、JK 触发器的次态方程为 Q n+1=j Q n ’+K ’ Q n ;D 触发器的次态方程为 Q n+1=

D 。

9、触发器有两个互非的输出端Q 和Q ,通常规定Q =1,Q =0时为触发器的 1 状态;Q =0,Q =1时为触发器的 0 状态。n Q K Q J Q n n +=+1

10==S R 0 ,其特

R=S= 1 ,其特征方程为 ,约束条件为 SR=0 。

触发器 两个输入端子连在一起作为一个输入 就构成了T 触发器,T 触发器具有的逻辑功能是 保持 和 翻转 。

13、让 T 触发器恒输入“1”就构成了T'触发器,这种触发器仅具有 翻转 功能。

二、正误识别题

1、仅具有保持和翻转功能的触发器是RS 触发器。 ( 错 )

2、基本的RS 触发器具有“空翻”现象。 ( 错 )

3、同步的RS 触发器的约束条件是:R +S=0。 ( 错 )

4、JK 触发器的特征方程是:n n

1n KQ Q J Q +=+。 ( 错 )

5、D 触发器的输出总是跟随其输入的变化而变化。 ( 对 )

6、CP=0时,由于JK 触发器的导引门被封锁而触发器状态不变。 ( 对 )

7、主从型JK 触发器的从触发器开启时刻在CP 下降沿到来时。 ( 对 )

8、触发器和逻辑门一样,输出取决于输入现态。 ( 错 )

9、维持阻塞D 触发器状态变化在CP 下降沿到来时。 ( 错 )

三、选择题

1、仅具有置“0”和置“1”功能的触发器是( C )。

A 、基本RS 触发器

B 、钟控RS 触发器

C 、

D 触发器 D 、JK 触发器

2、由与非门组成的基本RS 触发器不允许输入的变量组合R S ?为( A )。

A 、00

B 、01

C 、10

D 、11

3、同步RS 触发器的特征方程是( D )。

A 、n 1n Q R Q +=+

B 、n 1n Q S Q +=+

C 、n 1n Q S R Q +=+

D 、n n Q R S Q +=+1

4、仅具有保持和翻转功能的触发器是( B )。

A 、JK 触发器

B 、T 触发器

C 、

D 触发器 D 、T ˊ触发器

5、触发器由门电路构成,但它不同门电路功能,主要特点是具有( C )

A 、翻转功能

B 、保持功能

C 、记忆功能

D 、置0置1功能

6、TTL 集成触发器直接置0端D R 和直接置1端D S 在触发器正常工作时应( C )

A 、D R =1,D S =0

B 、D R =0,D S =1

C 、保持高电平“1”

D 、保持低电平“0”

7、按触发器触发方式的不同,双稳态触发器可分为( C )

A 、高电平触发和低电平触发

B 、上升沿触发和下降沿触发

C 、电平触发或边沿触发

D 、输入触发或时钟触发

8、按逻辑功能的不同,双稳态触发器可分为( D )。

A 、RS 、JK 、D 、T 等

B 、主从型和维持阻塞型

C 、TTL 型和MOS 型

D 、上述均包括

9、为避免“空翻”现象,应采用( B )方式的触发器。

A 、主从触发

B 、边沿触发

C 、电平触发

10、为防止“空翻”,应采用( C )结构的触发器。

A 、TTL

B 、MOS

C 、主从或维持阻塞

11、JK 触发器要时钟信号的作用下,要使n n Q Q =+1,以下输入端连线不能为( C )

A J=K=0

B J=Q,Q K =

C J=K=Q

D J=Q,K=0

12、下列触发器中有约束条件的是( A )

A 、基本RS 触发器

B 、边沿D 触发器

C 、主从JK 触发器

D 、T 触发器

13、 对于JK 触发器,输入J =0,K =1,CLK 脉冲作用后,触发器的次态应为( A )。 A:0 B:1 C:Q' D:不确定

14、触发器的状态转换图如下,则它是:( D )

A:T 触发器 B:SR 触发器 C:JK 触发器 D:D 触发器

15、欲使D 触发器按n n Q Q =+1工作,应使输入D=( D )。

A:0 B: C:Q D: Q

16、采用主从结构的触发器,则触发方式为( B )

A:电平触发方式 B:脉冲触发方式 C:边沿触发方式 D:不确定

17、 对于JK 触发器已知Q=0,若要使Q*=1,则:( A )

A:J=0 ,K= 0 B:J=0 ,K= 1 C:J=1 ,K= 0 D:不确定

18、 T 触发器中,当T=1时,触发器实现( C )功能。

A: 置1 B: 置0 C:计数 D:保持

19、下列触发器中,没有约束条件的是( D )

A:基本RS 触发器 B:主从RS 触发器

C:同步RS 触发器 D:边沿D 触发器

20、对于JK 触发器,若J =K ,则可完成( B )触发器的逻辑功能

A:SR 触发器 B:T 触发器 C:D 触发器 D:T'触发器

21、T 触发器的功能是( D )

A . 翻转、置“0” B. 保持、置“1”

C. 置“1”、置“0”

D. 翻转、保持

第5单元 能力训练检测题

一、填空题

1、时序逻辑电路通常由 组合逻辑电路 和 存储电路 两部分组成。

2、根据时序逻辑电路按各位触发器接受 时钟脉冲控制 信号的不同,可分为 同 步时序逻辑电路和 异 步时序逻辑电路两大类。

3、通常用 驱动方程 、 状态方程 和 输出方程 来描述时序逻辑电路。

4、时序逻辑电路按照各位触发器触发器的时钟脉冲是否相同可分为 同步时序逻辑电路 和 异步时序逻辑电路 两大类。

5、时序逻辑电路中仅有存储电路输出时,构成的电路类型通常称为莫尔型时序逻辑电路;如果电路输出除存储电路输出外,还包含组合逻辑电路输出端时,构成的电路类型称为米莱型时序逻辑电路。

6、可以用来暂时存放数据的器件称为寄存器,若要存储4位二进制代码,该器件必须有4位触发器。

7、时序逻辑电路中某计数器中的无效码若在开机时出现,不用人工或其它设备的干预,计数器能够很快自行进入有效循环体,使无效码不再出现的能力称为自启动能力。

8、若构成一个六进制计数器,至少要采用三位触发器,这时构成的电路有6个有效状态,2个无效状态。

9、通常模值相同的同步计数器比异步计数器的结构复杂,工作速度快。

10、用集成计数器CC40192构成任意进制的计数器时,通常可采用反馈预置法和反馈清零法。

11、寄存器分为____基本寄存器_____和_______移位寄存器______两种。

二、判断题

1、集成计数器通常都具有自启动能力。(对)

2、使用3个触发器构成的计数器最多有8个有效状态。(对)

3、同步时序逻辑电路中各触发器的时钟脉冲CP不一定相同。(错)

4、利用一个74LS90可以构成一个十二进制的计数器。(错)

5、莫尔型时序逻辑电路,分析时可以不写输出方程。(对)

6、十进制计数器是用十进制数码“0~9”进行计数的。(错)

7、利用集成计数器芯片的预置数功能可获得任意进制的计数器。(对)

8、移位寄存器 74LS194 可串行输入并行输出,但不能串行输入串行输出。(×)

三、选择题(每小题2分,共20分)

1、描述时序逻辑电路功能的两个必不可少的重要方程式是(B)。

A、次态方程和输出方程

B、次态方程和驱动方程

C、驱动方程和时钟方程

D、驱动方程和输出方程

2、用8421BCD码作为代码的十进制计数器,至少需要的触发器个数是(C)。

A、2

B、3

C、4

D、5

3、按触发器状态转换与时钟脉冲CP的关系分类,计数器可分为(A)两大类。

A、同步和异步

B、加计数和减计数

C、二进制和十进制

4、利用中规模集成计数器构成任意进制计数器的方法是(B)

A、复位法

B、预置数法

C、级联复位法

5、在下列器件中,不属于时序逻辑电路的是(C)

A、计数器

B、序列信号检测器

C、全加器

D、寄存器

6、Mealy型时序逻辑电路的输出(C)

A、只与当前外部输入有关

B、只与电路内部状态有关

C、与外部输入和内部状态都有关

D、与外部输入和内部状态都无关

7、时序逻辑电路中必须有(B)

A、输入逻辑变量

B、时钟信号

C、计数器

D、编码器

8、某计数器的状态转换图如下,

A.八 B. 五

C. 四

D. 三

9、如果要构成52进制的计数器,需要74LS160 A 片。

A. 2

B. 4

C. 5

D. 6

10、下图时序逻辑电路是(D )

A:Moore型同步时序逻辑电路 B:Moore型同步时序逻辑电路 C:Mealy型同步时序逻辑电路 D:Mealy型异步时序逻辑电路

11、8位移位寄存器,串行输入时经(D)个脉冲后,8位数码全部移入寄存器中。

A:1 B:2 C:4 D:8

12、构成一个五进制的计数器至少需要(C)个触发器

A:5 B:4 C:3 D:2

13、下图时序逻辑电路是(B)

A:Moore型同步时序逻辑电路 B:Moore型同步时序逻辑电路

C:Mealy型同步时序逻辑电路 D:Mealy型异步时序逻辑电路

14、下列说法正确的是(C)

A:时序电路中两个相同的状态叫等价状态

B:时序电路中的两个等价状态在相同的输入下输出相同,但次态不同

C:时序电路中的两个等价状态在相同的输入下输出相同,次态也相同

D:时序电路中的两个等价状态在相同的输入下输出不同,但次态相同

15、下列说法正确的是( C )

A:时序逻辑电路某一时刻的电路状态仅取决于电路该时刻的输入信号 B:时序逻辑电路某一时刻的电路状态仅取决于电路进入该时刻前所处的状态

C:时序逻辑电路某一时刻的电路状态不仅取决于当时的输入信号,还取决于电路原来的状态

D:时序逻辑电路通常包含组合电路和存储电路两个组成部分,其中组合电路是必不可少的。

16、有一个左移移位寄存器,当预先置入1011后,其串行输入固定接0,在4个移位脉冲CP作用下,四位数据的移位过程是( A )。

A. 1011--0110--1100--1000--0000

B. 1011--0101--0010--0001--0000

C. 1011--1100--1101--1110--1111

D. 1011--1010--1001--1000--0111

第7单元能力训练检测题

一、填空题:

1、一个存储矩阵有64行、64列,则存储容量为4096个存储单元。

2、半导体存储器按照存、取功能上的不同可分为只读存储器ROM和随机存取存储器RAM两大类。其中只读存储器ROM事先存入的信息不会因为下电而丢

失;而随机存取存储器RAM关闭电源或发生断电时,其中的数据就会丢失。

3、RAM主要包括地址译码器、存储矩阵和读/写控制电路三大部分。

4、ROM按照存储信息写入方式的不同可分为固定ROM、可编程的PROM、可光擦除可编程的EPROM和可电擦除可编程的E2PROM。

二、选择题

1、一片容量为1024×4位的存储器,表示有( C )个存储单元。

A、1024

B、4

C、4096

D、8

2、只能读出不能写入,但信息可永久保存的存储器是( A )

A、ROM

B、RAM

C、PRAM

第9单元

1、555定时器不可以组成D。

A.多谐振荡器

B.单稳态触发器

C.施密特触发器

D.J K触发器

2、多谐振荡器有----------------------------(C)

A. 两个稳态

B. 一个稳态

C. 没有稳态

D. 不能确定

3、多谐振荡器可产生B。

A.正弦波

B.矩形脉冲

C.三角波

D.锯齿波

4、以下各电路中,( B )可以产生脉冲定时。

A.多谐振荡器

B.单稳态触发器

C.施密特触发器

D.石英晶体多谐振荡器

《数字电路》期末模拟试题及答案

. 一、填空题 1. PN 结具有单向导电性。正向偏置时,多子以扩散运动为主,形成正向电流;反向 偏置时,少子漂移运动,形成反向饱电流。 2. 双极型晶体三极管输出特性曲线的三个工作区是放大区、截止区、饱和区。 3. 已知三态与非门输出表达式C AB F ?=,则该三态门当控制信号C 为高电平时, 输出为高阻态。 4. 十进制数211转换成二进制数是(11010011)2;十六进制数是(D3)16。 5. 将若干片中规模集成电路计数器串联后,总的计数容量为每片计数容量的乘积。 6. 若用触发器组成某十一进制加法计数器,需要四个触发器,有五个无效状态。 7. 同步RS 触发器的特性方程为n 1n Q R S Q +=+;约束方程为RS=0 。 8. 下图所示电路中,Y 1 =B A Y 1= 2Y 3 =AB Y 3= 二、选择题 1. 下列函数中,是最小项表达式形式的是____c _____。 A. Y=A+BC B. Y=ABC+ACD C. C B A C B A Y +?= D. BC A C B A Y +?= 2. 要实现n 1n Q Q =+,JK 触发器的J 、K 取值应为__d ___。 A . J=0,K=0 B. J=0,K=1 C. J=1,K=0 D. J=1,K=1 3.数值[375]10与下列哪个数相等_b __。 A . [111011101]2 B. [567]8 C. [11101110]BCD D. [1F5]16 4.属于组合逻辑电路的是_____b ______ A . 触发器 B. 全加器 C. 移位寄存器 D. 计数器 5.M 进制计数器状态转换的特点是:设定初态后,每来_c __个计数脉冲CP ,计数器重 新 B 2 B V CC Y 1

《数字电子技术》考试试卷及参考答案

课程名称:《数字电子技术》 (本卷满分100分,考试时间120分钟) 一、填空题(本大题共11题,每空2分,共32分) 1. (90.7)10=( )8421BCD =( )余3 码。 2. 满足输入全为1,输出才为0的逻辑关系有 。 3. 1位数值比较器,比较结果为A>B 时,输出F= 。 4. 74148是8线-3线优先编码器,编码输入7I 的优先级别最高,0I 优先级别最低。当使能 端有效,6I =5I =0,其余编码输入端为1时,编码输出2F 1F 0F = 。 5. TTL 与非门多余输入端的处理方法是 。 6. TTL 与非门的灌电流负载发生在输出 电平情况下,负载电流越大,则 输出电平越高。 7. TTL 三态门的三种可能的输出状态分别是 、 和 。 8. 时序电路一般由 和 两部分组成。 9. 要存储16位二进制信息需要 个触发器。 10. 有一个移位寄存器,高位在左边,低位在右边,欲将存放在该移位寄存器中的二进制数 乘上十进制数8,则需将该移位寄存器中的数左移 位,需要 个移位脉冲。 11. TTL 电路如图所示,输出端表达式为P 2= 。 二、选择题(本大题共5题,每小题2分,共10分) 1. 触发器的1状态指的是Q 和Q 分别为( )。 A.0,0 B.1,1 C.0,1 D.1,0 2. 下列触发器中对输入信号有约束条件的是( )。 A.基本RS 触发器 B.主从JK 触发器 C.边沿D 触发器 D.T 触发器 3. 以下关于时序逻辑电路的描述不正确的是( )。 A. 电路在任意时刻的输出与该时刻的输入信号有关 B. 输出与电路的原状态有关 C. 仅仅由逻辑门电路组成的电路不是时序逻辑电路 D. 含有从输出到输入的反馈回路 4. 同步计数器和异步计数器比较,同步计数器的显著优点是( )。 A.工作速度高 B.触发器利用率高 C.电路简单 D 不受CP 时钟控制. 5. 在下列电路中,不属于时序逻辑电路的是( )。 A.计数器 B.寄存器 C.全加器 D.分频器 三、计算题(本大题共2题,共13分) 1. (8分)用卡诺图化简函数F(A,B,C,D)=∑m (5,6,8,10)+∑d (0,1,2,4,13,14,15), 写出其最简与-或表达式和或-与表达式。 2. (5分)函数)(C B B A Y ⊕⊕=,求反函数并化为最简与或式。 四、分析设计题(本大题共4题,共45分) 1. (10分)8选1数据选择器74HC151实现逻辑函数Y ,请写出Y 的逻辑表达式。 2. (10分)某同学参加四门课程考试,规定(1)课程A 及格得1分,不及格为0分;(2)课 程B 及格得2分,不及格为0分;(3)课程C 及格得4分,不及格为0分;(4)课程D 及格为5分,不及格为0分。若总得分大于8分(含8分),则可结业。试用与非门实现上述逻辑要求。 3. (15分)试分析下图所示时序电路的逻辑功能。

数字电子技术练习题及答案

数 字电子技术练习题及答案 一、填空题 1、(238)10=( 11101110 )2 =( EE )16。(110110.01)2=( 36.4 )16=( 54.25 )10。 2、德?摩根定理表示为 B A +=( B A ? ) , B A ?=( B A + )。 3、数字信号只有( 两 )种取值,分别表示为( 0 )和( 1 )。 4、异或门电路的表达式是( B A B A B A +=⊕ );同或门的表达式是( B A AB B A ?+=⊙ ) 。 5、组成逻辑函数的基本单元是( 最小项 )。 6、与最小项C AB 相邻的最小项有( C B A )、( C B A ? ) 和 ( ABC ) 。 7、基本逻辑门有( 与门 )、( 或门 )和( 非门 )三种。复合门有( 与非门 )、( 或非门 )、( 与或非门 )和( 异或门 )等。 8、 9、 10、最简与或式的定义是乘积项的( 个数最少 ),每个乘积项中相乘的( 变量个数也最少)的与或表达式。 11、在正逻辑的约定下,“1”表示( 高电平 ),“0”表示( 低电平 )。在负逻辑的约定下,“1”表示( 低电平 ),“0”表示( 高电平 )。 12、一般TTL 门电路输出端( 不能 )直接相连,实现线与。(填写“能”或“不能”) 13、三态门的三种可能的输出状态是( 高电平 )、( 低电平 )和( 高阻态 )。 14、实现基本和常用逻辑运算的(电子电路),称为逻辑门电路,简称门电路。 15、在TTL 三态门、OC 门、与非门、异或门和或非门电路中,能实现“线与”逻辑功能的门为(OC 门),能实现总线连接方式的的门为(三态门)。 16、T TL 与非门的多余输入端不能接( 低 )电平。 17、 18、真值表是将输入逻辑变量的( 所有可能取值 )与相应的( 输出变量函数值 )排列在一起而组成的表格。 19、组合逻辑电路是指任何时刻电路的稳定输出,仅仅只决定于(该时刻各个输入变量的取值)。 20、用文字、符号或者数码表示特定对象的过程叫做( 编码 )。把代码的特定含义翻译出来的过程叫( 译码 )。 在几个信号同时输入时,只对优先级别最高的进行编码叫做( 优先编码 )。 21、两个1位二进制数相加,叫做(半加器)。两个同位的加数和来自低位的进位三者相加,叫做(全加器)。 22、比较两个多位二进制数大小是否相等的逻辑电路,称为(数值比较器)。 23、半导体数码显示器的内部接法有两种形式:共(阳)极接法和共(阴)极接法。对于共阳接法的发光二极管数码显示器,应采用(低)电平驱动的七段显示译码器。 24、能够将( 1个 )输入数据,根据需要传送到( m 个 )输出端的任意一个输出端的电路,叫做数据分配器。 25、在多路传输过程中,能够根据需要将( 其中任意一路挑选出来 )的电路,叫做数据选择器,也称为多路选择器或多路开关。 26、触发器又称为双稳态电路,因为它具有( 两个 )稳定的状态。 27、根据逻辑功能不同,触发器可分为( RS 触发器 )、( D 触发器 )、( JK 触发器 )、( T 触发器 )和( T ’触发器 )等。根据逻辑结构不同,触发器可分为( 基本触发器 )、( 同步触发器 )和( 边沿触发器 )等。 28、JK 触发器在JK =00时,具有( 保持 )功能,JK =11时;具有( 翻转 )功能;JK =01时,具有( 置0 )功能;JK =10时,具有( 置1 )功能。 29、JK 触发器具有( 保持 )、( 置0 )、( 置1 )和( 翻转 )的逻辑功能。D 触发器具有( 置0 )和( 置1 )的逻辑功能。RS 触发器具有( 保持 )、( 置0 )和( 置1 )的逻辑功能。 T 触发器具有( 保持 )和( 翻转 )的逻辑功能。T ’触发器具有( 翻转 )的逻辑功能。 30、边沿触发器具有共同的动作特点,即触发器的次态仅取决于CP 信号( 上升沿或下降沿 )到来时刻输入的逻辑状态,而在这时刻之前或之后,输入信号的变化对触发器输出的状态没有影响。 31、基本RS 触发器的特性方程是( n n Q R S Q +=+1 );其约束条件是( 0=RS )。JK 触发器的特性方程是

数字电子技术模拟试题4

泰山学院课程考试专用 《数字电子技术》模拟试题 4 (试卷共8页,答题时间120分钟) 一、填空题(每空 1分,共 20 分。) 1、(33)10=( )16=( )2 2、若各门电路的输入均为A 和B ,且A=0,B=1;则与非门的输出为_________,或非门的输出为___ ___,同或门的输出为__ __。 3、一个数字信号只有 种取值,分别表示为 和 。 4、一个三态门如图1.4, 当E ′=__________时,Y=)('AB 。 5、某EPROM 有8位数据线、13位地址线,则其存储容量为 位。 6、若要构成七进制计数器,最少用 个触发器,它有 个无效状态。 7、多谐振荡器是一种波形 电路,它没有稳态,只有两个 。 8、A/D 转换的一般步骤包括 、 、 和 。 9、欲将触发器置为“1”态,应使D R '= , D S '= 。 二、选择题(每题 2分,共 20 分。请将答案填在下面的表格内)1、在不影响逻辑功能的情况下,CMOS 与非门的多余输入端可_______。 A.接高电平 B.接低电平 C.悬空 D.通过大电阻接地 2、下图中,满足Q * =1 的触发器是_____________。

3、由四个触发器构成十进制计数器,其无效状态有__________。 A.四个 B.五个 C.六个 D.十个 4、以下电路中,欲获得一个数字系统的时钟脉冲源,应采用____________。 A .D 触发器 B.多谐振荡器 C.单稳态触发器 D.施密特触发器 5、逻辑代数中有3种基本运算: 、 和 。 A. 或非,与或,与或非 B. 与非,或非,与或非 C. 与非,或,与或 D. 与,或,非 6、用555定时器构成的施密特触发器的回差电压可表示为 。 A. cc V 3 1 https://www.wendangku.net/doc/ea15680183.html, V 3 2 C. V cc D. cc V 4 3 7、在下列门电路中,输出端不可以并联使用的是 。 A. 三态门 B.集电极开路门(OC 门) C.具有推挽输出结构的TTL 门电路 D.CMOS 传输门 8、某A/D 转换器有8路模拟信号输入,若8路正弦输入信号的频率分别为1KHz ,…,8KHz ,则该A/D 转换器的采样频率f s 的取值应为 。 A. f s ≤1KHz B. f s =8KHz C. f s ≥16KHz D. f s ≥2KHz 9、四位环形计数器的有效状态有 个。 A. 2 B. 4 C. 6 D. 8 10、下列电路中不属于时序逻辑电路的是 。 A.计数器 B. 全加器 C.寄存器 D.分频器 1、Y 1=A )('BC +AB C ' 2、Y 2(A ,B ,C ,D )=∑m (1,3,5,7,8,9)+∑d(11,12,13,15)四、1、电路如图4.1(a)所示,各电路的CP 、A 、B 、C 波形如图(b )所示。

数字电子技术考试题及答案

太原科技大学 数字电子技术 课程试卷 B 卷 一、单选题(20分,每小题1分)请将本题答案全部写在下表中 1、8421BCD 码10000001转化为十六进制数是( )。 A 、15 B 、51 C 、81 D 、18 2、n 位二进制数的反码或其原码,表示的十进制数是( )。 A 、21n - B 、2n C 、1 2n - D 、2n 3、TTL 与非门多余输入端的处理是( )。 A 、接低电平 B 、任意 C 、 通过 100W 电阻接地 D 、通过 100k W 电阻接地 4、OD 非门在输入为低电平(输出端悬空)情况下,输出为( )状态。 A 、高电平 B 、低电平 C 、开路 D 、不确定 5、与()Y A B A =e e 相等的逻辑函数为( )。 A 、Y B = B 、Y A = C 、Y A B =? D 、Y A B =e 6、下列(,,)F A B C 函数的真值表中1Y =最少的为( )。 A 、Y C = B 、Y AB C = C 、Y AB C =+ D 、Y BC C =+ 7、( )是组合逻辑电路的特点。 A 、输出仅取决于该时刻的输入 B 、后级门的输出连接前级门的输入 C 、具有存储功能 D 、由触发器构成 8、半加器的两个加数为A 和B ,( )是进位输出的表达式。 A 、AB B 、A B + C 、AB D 、AB 9、欲使JK 触发器1 n Q Q +=,J 和K 取值正确的是( )。 A 、,J Q K Q == B 、J K Q == C 、0J K == D 、,1J Q K == 10、字数为128的ROM 存储器存储容量为1204位,字长为( )位,地址线为( )根。 A 、8,8 B 、8,7 C 、4,7 D 、4,8 11、一个四位二进制减法计数器初始状态为0110,经过101个脉冲有效沿触发后,它的输出是 ( )。 A 、0000 B 、0001 C 、0011 D 、0010 12、要用1K×8的RAM 扩展成8K×16的RAM ,需选用( )译码器。 A 、 3线-8线 B 、2线-4线 C 、1线-2线 D 、4线-16线

数字电子技术试题及答案(题库)

《数字电子技术》试卷 姓名:__ _______ 班级:__________ 考号:___________ 成绩:____________ 1. 有一数码10010011,作为自然二进制数时,它相当于十进制数( ),作为8421BCD 码时,它相当于 十进制数( )。 2.三态门电路的输出有高电平、低电平和( )3种状态。 3.TTL 与非门多余的输入端应接( )。 4.TTL 集成JK 触发器正常工作时,其d R 和d S 端应接( )电平。 5. 已知某函数??? ??+??? ??++=D C AB D C A B F ,该函数的反函数F =( ) 。 6. 如果对键盘上108个符号进行二进制编码,则至少要( )位二进制数码。 7. 典型的TTL 与非门电路使用的电路为电源电压为( )V ,其输出高电平为( )V ,输出低电平为( )V , CMOS 电路的电源电压为( ) V 。 8.74LS138是3线—8线译码器,译码为输出低电平有效,若输入为A 2A 1A 0=110时,输出 01234567Y Y Y Y Y Y Y Y 应为( )。 9.将一个包含有32768个基本存储单元的存储电路设计16位为一个字节的ROM 。该ROM 有( )根地址线,有( )根数据读出线。 10. 两片中规模集成电路10进制计数器串联后,最大计数容量为( )位。 11. );Y 3 =( )。

12. 某计数器的输出波形如图1所示,该计数器是( )进制计数器。 13.驱动共阳极七段数码管的译码器的输出电平为( )有效。 二、单项选择题(本大题共15小题,每小题2分,共30分) (在每小题列出的四个备选项中只有一个是最符合题目要求的,请将其代码填写在题后的括号内。错选、多选或未选均无分。) 1. 函数F(A,B,C)=AB+BC+AC 的最小项表达式为( ) 。 A .F(A,B,C)=∑m (0,2,4) B. (A,B,C)=∑m (3,5,6,7) C .F(A,B,C)=∑m (0,2,3,4) D. F(A,B,C)=∑m (2,4,6,7) 2.8线—3线优先编码器的输入为I 0—I 7 ,当优先级别最高的I 7有效时,其输出012Y Y Y ??的值是( )。 A .111 B. 010 C. 000 D. 101 3.十六路数据选择器的地址输入(选择控制)端有( )个。 A .16 B.2 C.4 D.8 4. 有一个左移移位寄存器,当预先置入1011后,其串行输入固定接0,在4个移位脉冲CP 作用下,四位数据的移位过程是( )。 A. 1011--0110--1100--1000--0000 B. 1011--0101--0010--0001--0000 C. 1011--1100--1101--1110--1111 D. 1011--1010--1001--1000--0111 5.已知74LS138译码器的输入三个使能端(E 1=1, E 2A = E 2B =0)时,地址码A 2A 1A 0=011,则输出 Y 7 ~Y 0是( ) 。 A. 11111101 B. 10111111 C. 11110111 D. 11111111 6. 一只四输入端或非门,使其输出为1的输入变量取值组合有( )种。 A .15 B .8 C .7 D .1 7. 随机存取存储器具有( )功能。 A.读/写 B.无读/写 C.只读 D.只写 8.N 个触发器可以构成最大计数长度(进制数)为( )的计数器。

数字电子技术模拟试题及答案

《数字电子技术》模拟试题 20分)一、填空题(每题2分,共 1511、十六进制数97 。,对应的十进制数为 0 时,输出为2”描述的是与运算的规则。、“至少有一个输入为 0 变量逻辑函数有16个最小项。、 4 3 运算。非和 4、基本逻辑运算有: 与、或 加器。半 5、两二进制数相加时,不考虑低位的进位信号是 电平。高 6、TTL器件输入脚悬空相当于输入 线、地址线和控制线。数据 7、RAM的三组信号线包括:位。最高8、 采用四位比较器对两个四位数比较时,先比较 15分)二、单项选择题(每个3分,共的国标逻辑符号中是异或门。B 1、图1 图1 C 。2、下列逻辑函数表达式中可能存在竞争冒险的是 B)(B?(A?C)F? B A )B?C)(?(A?BFF?(A?B)(B?C)F?(A?B)(B?C) D C 3、下面逻辑式中,不正确的是_ A___。 ABC?A?B?C B. A. A??ABA D. C. AA??B)A(BAAB?4、时序逻辑电路中必须 有___B___。 A. 输入逻辑变量 B. 时钟信号 C. 计数器 D. 编码器 5、有S1,S2两个状态,条件 B 可以确定S1和S2不等价。 A. 输出相同次态不同D. 次态相同C. 输出不同 B. 10分)三、简答题(共A??B左边=(A?)(A?B)(?1A?A?B)?解:分) 1、(证明:

4B?BA?A?A12、某逻辑函数的真值表如表所示,画出卡诺图。(6分)某逻辑函数的真值表 1 表 F B A C 0 0 0 0 1 1 0 0 1 0 1 0 X 1 1 0 X 0 0 1 0 0 1 1 1 1 0 1 X 1 1 1 分)四、分析题(20 Z 图2 分析图2所示电路的逻辑功能。 1)列出其时钟方程:(2分) CP1=CP↑;CP0=CP↑。 2)列出其驱动方程:(4分) Q1;K0==1 ;J0。Q0J1=;K1=1?Q?Q1或XX3)列出其输出方程:(1分)Z=XQ1Q0 n?1n?1?QQ1Q0Q?Q1?Q0?XQ1或Q1?Q0?XQ1Q04)求次态方程:4(分);10分)9)作状态表及状态图(5.

数字电路复习题一(简答题)

一、简答题 1、什么是触发器的空翻现象简述造成空翻现象的原因。 2、简述时序逻辑电路分析的步骤。 3、最小项的性质。 4、组合电路产生竞争冒险的原因及常用的消除竞争冒险的方法。 5、简述时序逻辑电路与组合逻辑电路的异同。 6、简述触发器的基本性质。 7、逻辑函数的表示方法有哪几种 8、反演定律和对偶定律(答案见课本27页) 9、计数器的分类

简答题参考答案 1、答:如果在一个时钟脉冲的高电平作用下,触发器的状态发生了两次或两次以上的翻转, 这叫做“空翻”。 由于是电平触发,在CP=1期间,数据输入端如果连续发生变化,触发器也连续随着变化,直到CP由1变0才停止,造成空翻现象的原因是触发器电平触发。 2、答:(1)观察电路,确定电路类型;是同步时序电路还是异步时序电路;是Mealy型时序电路还是Moore型时序电路。 (2)根据电路写出各触发器驱动方程及时钟方程(即各触发器的CP信号表达式,如果是同步时序电路,则可不写时钟方程(因为每个触发器均接同一个脉冲源,来一个时钟脉冲,每个触发器同时变化)。 Q的逻辑(3)将各触发器的驱动方程带入触发器的特性方程,写出各个触发器次态1 n 表达式(即状态方程)。 (4)根据电路写出输出逻辑表达式(输出方程)。 (5)推出时序逻辑电路的状态转换真值表、状态转换图及时序图(又称波形图)。 (6)总结和概括这个时序电路的逻辑功能。 3、答:(1)任何一组变量取值下,只有一个最小项的对应值为1; (2)任何两个不同的最小项的乘积为0; (3)任何一组变量取值下,全体最小项之和为1。 4.答:在组合电路中,当逻辑门有两个互补输入信号同时向相反状态变化时,输出端 可能产生过渡干扰脉冲的现象。常用的消除竞争冒险的方法有:输入端加滤波 电容、加封锁或选通脉冲、修改逻辑设计等。 5、答:时序逻辑电路是一种任意时刻的输出不仅取决于该时刻电路的输入,而且还与电路

(完整版)华南理工大学数字电子技术试卷(含答案)

诚信应考,考试作弊将带来严重后果! 华南理工大学期末考试 《数字电子技术》试卷A 注意事项:1. 考前请将密封线内填写清楚; 2. 所有答案请直接答在试卷上(或答题纸上); 3.考试形式: 闭卷; 。 题号一二三四总分 得分 评卷人 一. 单项选择题:(在每小题的备选答案中选出一个正确的答案,并将正确答案 10 题号 123456789 答案 1 A.10000000 B. 000100101000 C.100000000 D.100101000 2.已知函数F的卡诺图如图1-1, 试求其最简与 或表达式 3. 已知函数的反演式为,其 原函数为()。 A. B . C. D. 4.对于TTL数字集成电路来说,下列说法那个是错误的: (A)电源电压极性不得接反,其额定值为5V; (B)不使用的输入端接1; (C)输入端可串接电阻,但电阻值不应太大; (D)OC门输出端可以并接。 5.欲将正弦信号转换成与之频率相同的脉冲信号,应用 A.T,触发器 B.施密特触发器

C.A/D转换器 D.移位寄存器 6.下列A/D转换器中转换速度最快的是()。 A.并联比较型 B.双积分型 C.计数型 D.逐次渐近型 7. 一个含有32768个存储单元的ROM,有8个数据输出端,其地址输入端有()个。 A. 10 B. 11 C. 12 D. 8 8.如图1-2,在TTL门组成的电路中,与非门的输入电流为I iL≤–1mA?I iH≤20μA。G1输出低电平时输出电流的最大值为I OL(max)=10mA,输出高电平时最大输 出电流为I OH(max)=–0.4mA 。门G1的扇出系数是()。 A. 1 B. 4 C. 5 D. 10 9.十数制数2006.375转换为二进制数是: A. 11111010110.011 B. 1101011111.11 C. 11111010110.11 D. 1101011111.011 10. TTL或非门多余输入端的处理是: A. 悬空 B. 接高电平 C. 接低电平 D.接”1” 二.填空题(每小题2分,共20分) 1.CMOS传输门的静态功耗非常小,当输入信号的频率增加时,其功耗将______________。 2. 写出四种逻辑函数的表示方法: _______________________________________________________________; 3.逻辑电路中,高电平用1表示,低电平用0表示,则称为___逻辑; 4. 把JK触发器改成T触发器的方法是_____________。 5.组合逻辑电路是指电路的输出仅由当前的_____________决定。 6.5个地址输入端译码器,其译码输出信号最多应有_____________个。 7.输入信号的同时跳变引起输出端产生尖峰脉冲的现象叫做_____________。8.一片ROM有10根地址线,8根数据输出线,ROM共有________个存储单元。9.N个触发器组成的计数器最多可以组成_____________进制的计数器。 8.基本RS触发器的约束条件是_____________。 三.电路分析题(36分) 1.图3-1(a)所示电路, 移位寄存器原来的数据是,数据从Di顺序输入到移位寄存器,试问: (1) 在图3-1(b)所示输入波形作用下,在T1到T2期间,输出端X、Y的波形? (2) 该电路的逻辑功能?(12分)

数字电子技术模拟试题及答案

数字电子技术模拟试题及 答案 Prepared on 24 November 2020

《数字电子技术》模拟试题 一、填空题(每题2分,共20分) 1、十六进制数97,对应的十进制数为 (1) 。 2、“至少有一个输入为0时,输出为 (2) ”描述的是与运算的规则。 3、 (3) 变量逻辑函数有16个最小项。 4、基本逻辑运算有: (4) 、 (5) 和 (6) 运算。 5、两二进制数相加时,不考虑低位的进位信号是 (7) 加器。 6、TTL 器件输入脚悬空相当于输入 (8) 电平。 7、RAM 的三组信号线包括: (9) 线、地址线和控制线。 8、采用四位比较器对两个四位数比较时,先比较 (10) 位。 二、单项选择题(每个3分,共15分) 1、图1的国标逻辑符号中 (11) 是异或门。 图1 2、下列逻辑函数表达式中可能存在竞争冒险的是 (12) 。 A ))((C B B A F ++= B ))((C B B A F ++= C ))((C B B A F ++= D ))((C B B A F ++= 3、下面逻辑式中,不正确的是_ (13)____。 A.C B A ABC ??= B. A AB A += C. ()A A B A += D. AB BA = 4、时序逻辑电路中必须有___(14)___。 A. 输入逻辑变量 B. 时钟信号 C. 计数器 D. 编码 器

5、有S1,S2两个状态,条件(15)可以确定S1和S2不等价。 A. 输出相同 B. 输出不同 C. 次态相同 D. 次态不同 三、简答题(共10分) 1、证明:B A+ = +(4分) A A B 2、某逻辑函数的真值表如表1所示,画出卡诺图。(6分) 表1 某逻辑函数的真值表 A B C F 0 0 0 0 0 0 1 1 0 1 0 1 0 1 1 X 1 0 0 X 1 0 1 0 1 1 0 1 1 1 1 X 四、分析题(20分) Z 图2 分析图2所示电路的逻辑功能。 1)列出其时钟方程:(2分) CP1=;CP0=。 2)列出其驱动方程:(4分) J1=;K1=;J0=;K0=。 3)列出其输出方程:(1分) Z=

数字电子技术模拟考试试题及答案

数字电子技术模拟考试试 题及答案 Last revision on 21 December 2020

《数字电子技术》模拟试题 一、填空题(每题2分,共20分) 1、十六进制数97,对应的十进制数为 (1) 。 2、“至少有一个输入为0时,输出为 (2) ”描述的是与运算的规则。 3、 (3) 变量逻辑函数有16个最小项。 4、基本逻辑运算有: (4) 、 (5) 和 (6) 运算。 5、两二进制数相加时,不考虑低位的进位信号是 (7) 加器。 6、TTL 器件输入脚悬空相当于输入 (8) 电平。 7、RAM 的三组信号线包括: (9) 线、地址线和控制线。 8、采用四位比较器对两个四位数比较时,先比较 (10) 位。 二、单项选择题(每个3分,共15分) 1、图1的国标逻辑符号中 (11) 是异或门。 图1 2、下列逻辑函数表达式中可能存在竞争冒险的是 (12) 。 A ))((C B B A F ++= B ))((C B B A F ++= C ))((C B B A F ++= D ))((C B B A F ++= 3、下面逻辑式中,不正确的是_ (13)____。 A.C B A ABC ??= B. A AB A += C. ()A A B A += D. AB BA = 4、时序逻辑电路中必须有___(14)___。 A. 输入逻辑变量 B. 时钟信号 C. 计数器 D. 编码器 5、有S1,S2两个状态,条件 (15) 可以确定S1和S2不等价。 A. 输出相同 B. 输出不同 C. 次态相同 D. 次态不同

1、证明:B A B A A +=+(4分) 2、某逻辑函数的真值表如表1所示,画出卡诺图。(6分) 表1 某逻辑函数的真值表 A B C F 0 0 0 0 0 0 1 1 0 1 0 1 0 1 1 X 1 0 0 X 1 0 1 0 1 1 0 1 1 1 1 X 四、分析题(20分) 图2 分析图2所示电路的逻辑功能。 1)列出其时钟方程:(2分) CP1= ;CP0= 。 2)列出其驱动方程:(4分) J1= ;K1= ;J0= ;K0= 。 3)列出其输出方程:(1分) Z = 4)求次态方程:(4分) =+11n Q ;=+10n Q 5)作状态表及状态图(9分) Z

数字电子技术复习题

S?是(A) 1、由与非门组成的基本RS触发器不允许输入的变量组合R A、00 B、01 C、10 D、11 2、仅具有保持和翻转功能的触发器是(C) A、JK触发器 B、D触发器 C、T触发器 D、同步触发器 3、八输入端的编码器按二进制编码时,其输出端的个数是(B) A、2个 B、3个 C、4个 D、6个 4、用8421BCD码作为代码的计数器,至少需要的触发器个数是(C) A、2个 B、3个 C、4个 D、5个 5、按触发方式的不同,双稳态触发器可分为(B) A、高电平触发和低电平触发 B、电平触发或边沿触发 C、上升沿触发和下降沿触发 D、输入触发和时钟触发 6、四位移位寄存器构成扭环形计数器时,可构成(C)计数器。 A、模4 B、模6 C、模8 D、模10 7、下列叙述正确的是(D) A、译码器属于时序逻辑电路 B、寄存器属于组合逻辑电路 C、555定时器属于数字逻辑电路 D、计数器属于时序逻辑电路 8、不产生多余状态的计数器是(A) A、同步预置数计数器 B、异步预置数计数器 C、两种归零法都有 D、无法判断 9、关于存储器的叙述,正确的是(A) A、存储器是随机存储器和只读存储器的总称 B、存储器是计算机上的一种输入输出设备 C、计算机停电时随机存储器中的数据不会丢失 D、存储器都是用磁介质构成的 10、和其它ADC相比,双积分型ADC的转换速度(A) A、较慢 B、较快 C、极慢 D、无法判断 1、最基本的存储器件是(D) A、与门 B、或门 C、非门 D、触发器 2、具有置0、置1、保持和翻转四种功能的触发器是(A) A、JK触发器 B、D触发器 C、T触发器 D、同步触发器 3、三输入端的译码器,其输出端的个数通常是(C) A、3个 B、6个 C、8个 D、16个 4、用8421BCD码作为代码的计数器,至少需要的触发器个数是(C) A、2个 B、3个 C、4个 D、5个 5、按触发方式的不同,双稳态触发器可分为(B) A、高电平触发和低电平触发 B、电平触发或边沿触发 C、上升沿触发和下降沿触发 D、输入触发和时钟触发 6、四位移位寄存器构成环形计数器时,可构成(A)计数器。 A、模4 B、模6 C、模8 D、模10 7、下列叙述正确的是(B) A、译码器属于时序逻辑电路 B、计数器属于时序逻辑电路 C、555定时器属于数字逻辑电路 D、寄存器属于组合逻辑电路 8、同步时序逻辑电路和异步时序逻辑电路相比较,其差异在于后者(B) A、没有稳定性 B、没有统一的时钟脉冲控制 C、没有稳定状态 D、输出只与内部状态有差 9、下列触发器,没有约束条件的是(D) A、基本RS触发器 B、同步RS触发器 C、主从型RS触发器 D、边沿JK触发器 10、和其它ADC相比,双积分型ADC的转换速度(A) A、较慢 B、较快 C、极慢 D、无法判断

数字电子技术题库

一、 选择题 1. 求逻辑函数Y AB B AB =++的最简与或式( ) ()()()()1A A B AB B A B C A B B D +++++ 2. 函数F(A,B,C)=AB+BC+AC 的最小项表达式为( ) 。 A .F(A,B,C)=∑m (0,2,4) B. (A,B,C)=∑m (3,5,6,7) C . F(A,B,C)=∑m (0,2,3,4) D. F(A,B,C)=∑m (2,4,6,7) 3. 求逻辑函数C B A ABC C B A ABC Y ++++=的最简与或式 A. C B A ABC Y ++= B. C B A Y += C. C B Y +=1 D. 1 4. 求逻辑函数C B A ABC C B A ABC Y ++++=的最简与或式 A. B AB Y += B. 1=Y C. B A Y += D. AC AB ABC Y ++= 5. 求逻辑函数01234689101114(,,,)(,,,,,,,,,,)Y A B C D m m m m m m m m m m m =∑最简与或式( ) ()()()()A B CD AD B B CD ACD C B C D AD D B CD AD ++++++++ 6. 函数Y ABC AB =+的最简与或式( ) ()()()0()1A AB AB AC BC B AB AB A C BC C D ++++++ 7. 逻辑函数()Y ABC AB AB BC =+++,最少需要几个与非门可以实现此逻辑( ) (A) 2 (B) 3 (C) 4 (D) 5 8. 逻辑函数()Y CD A B ABC ACD =⊕++约束条件0AB CD +=的最简与或式( ) ()()()()A B AD AC B B AD A C C B A D AC D B AD AC ++++++++ 9. 逻辑函数(,,)Y A B C ABC AC BC =++的标准与或式为( )

数字电子技术 期末考试试题

数字电子技术期末考试试题 期末考试试题 课程名称《数字电子技术》适用专业自动化、测控考试时间 ( 120 )分钟 一、填空题(22分每空2分) A,0,A,1,1、 , 。 2、JK触发器的特性方程为: 。 3、单稳态触发器中,两个状态一个为态,另一个为态.多谐振荡器两个状态都为 态,施密特触发器两个状态都为态. 4、组合逻辑电路的输出仅仅只与该时刻的有关,而与无 关。 5、某数/模转换器的输入为8位二进制数字信号(~D),输出为D0~25.5V的模拟电压。若数字信70号的最低位是“1”其余各位是“0”,则输出的模拟电压为。 6、一个四选一数据选择器,其地址输入端有个。二、化简题(15分每小题5分) 用卡诺图化简逻辑函数,必须在卡诺图上画出卡诺圈 1) Y(A,B,C,D)=?m(0,1,2,3,4,5,6,7,13,15) 2)L(A,B,C,D),m(0,13,14,15),d(1,2,3,9,10,11) ,, 利用代数法化简逻辑函数,必须写出化简过程 __________________________________________________ 3) F(A,B,C),AB,ABC,A(B,AB) 三、画图题(10分每题5分) 据输入波形画输出波形或状态端波形(触发器的初始状态为0). 1、

2、 四、分析题(17分) 1、分析下图,并写出输出逻辑关系表达式,要有分析过程(6分) 2、电路如图所示,分析该电路,画出完全的时序图,并说明电路的逻辑功能,要有分析过程(11分) 五、设计题(28分) 1、用红、黄、绿三个指示灯表示三台设备的工作情况:绿灯亮表示全部正常;红灯亮表示有一台不 正常;黄灯亮表示两台不正常;红、黄灯全亮表示三台都不正常。列出控制电路真值表,要求用 74LS138和适当的与非门实现此电路(20分)

数字电子技术复习题及答案

数字电子技术复习题及答案 一、填空题 1、(238)10=( )2 =( EE )16。2=( )16=( )10。 2、德?摩根定理表示为 B A +=( B A ? ) , B A ?=( B A + )。 3、数字信号只有( 两 )种取值,分别表示为( 0 )和( 1 )。 4、异或门电路的表达式是( B A B A B A +=⊕ );同或门的表达式是( B A AB B A ?+=⊙ ) 。 5、组成逻辑函数的基本单元是( 最小项 )。 6、与最小项C AB 相邻的最小项有( C B A )、( C B A ? ) 和 ( ABC ) 。 7、基本逻辑门有( 与门 )、( 或门 )和( 非门 )三种。复合门有( 与非门 )、( 或非门 )、( 与或非门 ) 和( 异或门 )等。 8、 9、 10、最简与或式的定义是乘积项的( 个数最少 ),每个乘积项中相乘的( 变量个数也最少)的与或表达式。 11、在正逻辑的约定下,“1”表示( 高电平 ),“0”表示( 低电平 )。在负逻辑的约定下,“1”表示( 低电 平 ),“0”表示( 高电平 )。 12、一般TTL 门电路输出端( 不能 )直接相连,实现线与。(填写“能”或“不能”) 13、三态门的三种可能的输出状态是( 高电平 )、( 低电平 )和( 高阻态 )。 14、实现基本和常用逻辑运算的(电子电路),称为逻辑门电路,简称门电路。 15、在TTL 三态门、OC 门、与非门、异或门和或非门电路中,能实现“线与”逻辑功能的门为(OC 门),能实 现总线连接方式的的门为(三态门)。 16、T TL 与非门的多余输入端不能接( 低 )电平。 17、 18、真值表是将输入逻辑变量的( 所有可能取值 )与相应的( 输出变量函数值 )排列在一起而组成的表格。 19、组合逻辑电路是指任何时刻电路的稳定输出,仅仅只决定于(该时刻各个输入变量的取值)。 20、用文字、符号或者数码表示特定对象的过程叫做( 编码 )。把代码的特定含义翻译出来的过程叫( 译码 )。 在几个信号同时输入时,只对优先级别最高的进行编码叫做( 优先编码 )。 21、两个1位二进制数相加,叫做(半加器)。两个同位的加数和来自低位的进位三者相加,叫做(全加器)。 22、比较两个多位二进制数大小是否相等的逻辑电路,称为(数值比较器)。 23、半导体数码显示器的内部接法有两种形式:共(阳)极接法和共(阴)极接法。对于共阳接法的发光二极管数

数字电子技术试卷试题答案汇总

数字电子技术基础试卷试题答案汇总 一、 填空题(每空1分,共20分) 1、逻辑代数中3种基本运算是(与运算、或运算、非运算) 2、逻辑代数中三个基本运算规则 (代入规则、反演规则、对偶规则) 3、逻辑函数的化简有 公式法 , 卡诺图 两种方法。 4、A+B+C= A ’B ’C ’ 。 5、TTL 与非门的u I ≤U OFF 时,与非门 关闭 ,输出 高电平 ,u I ≥U ON 时,与非门 导通 ,输出 低电平 。 6、组合逻辑电路没有 记忆 功能。 7、竞争冒险的判断方法 代数方法 , 卡诺图法 。 8、触发器它2 稳态,主从RS 触发器的特性方程Q ’’=S+RQ ’ SR=0 , 主从JK 触发器的特性方Q ’’=JQ ’+K ’Q ,D 触发器的特性方程 Q ’’=D 。 二、 选择题(每题1分,共10分) 1、相同为“0”不同为“1”它的逻辑关系是 ( C ) A 、或逻辑 B 、与逻辑 C 、异或逻辑 2、Y (A ,B ,C ,)=∑m (0,1,2,3)逻辑函数的化简式 ( C ) A 、Y=AB+BC+ABC B 、Y=A+B C 、Y=A (A) 3、 A 、Y=A B B 、Y 处于悬浮状态 C 、Y=B A + 4、下列图中的逻辑关系正确的是 ( A ) A.Y=B A + B.Y=B A + C.Y=AB 5、下列说法正确的是 ( A ) A 、主从JK 触发器没有空翻现象 B 、JK 之间有约束 C 、主从JK 触发器的特性方程是CP 上升沿有效。 6、下列说法正确的是 ( C ) A 、同步触发器没有空翻现象 B 、同步触发器能用于组成计数器、移位寄存器。 C 、同步触发器不能用于组成计数器、移位寄存器。 7、下列说法是正确的是 ( A ) A 、异步计数器的计数脉冲只加到部分触发器上 B 、异步计数器的计数脉冲同时加到所有触发器上 C 、异步计数器不需要计数脉冲的控制 8、下列说法是正确的是 ( A ) A 、施密特触发器的回差电压ΔU=U T+-U T- B 、施密特触发器的回差电压越大,电路的抗干扰能力越弱 C 、施密特触发器的回差电压越小,电路的抗干扰能力越强

数字电路模拟题

题型分布:填空题2*9=18、选择题3*4=12、逻辑函数化简6+7+7=20、画波形10、分析与设计15+25=40 一、填空题 1、与非门的逻辑功能为。 2、数字信号的特点是在上和上都是断续变化的,其高电平和低电平常用 和来表示。 3、三态门的“三态”指,和。 4、逻辑代数的三个重要规则是、、。 5、为了实现高的频率稳定度,常采用振荡器;单稳态触发器 受到外触发时进入态 6、计数器按增减趋势分有、和计数器。 7、一个触发器可以存放位二进制数。 8、优先编码器的编码输出为码,如编码输出A 2A 1 A =011,可知对输入的进 行编码。 9、逻辑函数的四种表示方法是、、、。 10、移位寄存器的移位方式有,和。 11、同步RS触发器中,R,S为电平有效,基本RS触发器中R,S为 电平有效。 12、常见的脉冲产生电路有 13、触发器有个稳态,存储8位二进制信息要个触发器。 14、常见的脉冲产生电路有,常见的脉冲整形电路 有、。 15、数字电路按照是否有记忆功能通常可分为两 类:、。 16、寄存器按照功能不同可分为两类:寄存器和寄 存器。 17、逻辑函数F== 18、触发器有两个互补的输出端Q、,定义触发器的1状态 为,0状态为,可见触发器的状态指的是端的状态。 19、一个触发器可以记忆位二进制代码,四个触发器可以记忆位二进 制代码。 20、主从JK触发器的特性方程。 21、时序逻辑电路按照其触发器是否有统一的时钟控制分为时 序电路和时序电路。 22、为了实现高的频率稳定度,常采用振荡器;单稳态触 发器受到外触发时进入态。 23、触发器有个稳态,存储8位二进制信息要个触发器。 24、逻辑函数的化简有,两种方法。 25、组合逻辑电路没有功能。 26、主从JK触发器的特性方程,D触发器的特性方

相关文档
相关文档 最新文档