文档库 最新最全的文档下载
当前位置:文档库 › LCD1602液晶显示实验报告

LCD1602液晶显示实验报告

LCD1602液晶显示实验报告
LCD1602液晶显示实验报告

LCD1602液晶显示实验报告

一、实验目的

(1)了解LCD1602的基本原理,掌握其基本的工作流程。

(2)学习用Verilog HDL语言编写LCD1602的控制指令程序,能够在液晶屏上显示出正确的符号。

(3)能够自行改写程序,并实现符号的动态显示。

二、实验设备与器件

Quartus II 软件、EP2C8Q208C8实验箱

三、实验方案设计

1.实验可实现的功能

可以实现在LCD1602液晶屏第一行左侧第一位的位置循环显示0~9,并且可以用一个拨码开关BM8实现显示的复位功能。

2.LCD1602基本知识

LCD1602液晶能够同时显示16x02即32个字符,模块内部的字符发生存储器(CGROM)已经存储了160个不同的点阵字符图形,这些字符有:阿拉伯数字、英文字母的大小写、常用的符号、和日文假名等,每一个字符都有一个固定的代码,比如大写的英文字母“A”的代码是01000001B(41H),显示时模块把地址41H中的点阵字符图形显示出来,我们就能看到字母“A”。因为1602识别的是ASCII码,试验可以用ASCII码直接赋值,在单片机编程中还可以用字符型常量或变量赋值,如“A”。1602通过D0~D7的8位数据端传输数据和指令。

3.系统工作原理

系统的状态转换流程图如图3.1.1所示。通过状态流程图可以看到,LCD1602液晶屏的状态是不断更新的,依次完成液晶的初始化和0~9的动态显示过程,并且过程可由开关控制。

开始

IDLE

DISP_SET 显示模式

设置

DISP_OFF 显示关闭

CLR_SCR 显示清屏

CUTSOR_SET1显示

光标移动设置

CURSOR_SET2显示

开关及光标设置

ROW1_ADDR 写第一

行起始地址

ROW1_0字符显示位

置不移动

数字0~9依次显示

拨码复位?

结束Y

N

图3.1.1 状态流程图

4.程序设计

module LCD1602(

input clk, // 50MHz 时钟

input rst_n, //开关信号

output reg [7:0] lcd_data, // 数据总线

output lcd_e, // 使能信号

output reg lcd_rs, // 指令、数据选择

output lcd_rw, // 读、写选择

output SEL0, // LCD1602读写选择

output SEL1, // LCD1602读写选择

output SEL2 // LCD1602读写选择

);

reg [127:120] row1_val; // 第一行字符

reg [23:0] cnt,cnt1;

assign SEL0 = 1'b0;

assign SEL1 = 1'b0;

assign SEL2 = 1'b1;

always @ (posedge clk, negedge rst_n)

if (!rst_n)

cnt <= 0;

else

cnt <= cnt + 1'b1;

wire lcd_clk = cnt[23]; // (2^23 / 50M)=0.168s always@(posedge lcd_clk)

if(cnt1>=24'd2)

begin

reg lcd_clk1;

lcd_clk1=1;

cnt1=0;

end

else

begin

cnt1=cnt1+1; //cnt1对lcd_clk二分频

lcd_clk1=0;

end

always@(posedge lcd_clk1)

begin

row1_val<=8'h30;//设初值

case(row1_val) //数字0~9循环显示8'h30: row1_val<=8'h31;

8'h31: row1_val<=8'h32;

8'h32: row1_val<=8'h33;

8'h33: row1_val<=8'h34;

8'h34: row1_val<=8'h35;

8'h35: row1_val<=8'h36;

8'h36: row1_val<=8'h37;

8'h37: row1_val<=8'h38;

8'h38: row1_val<=8'h39;

8'h39: row1_val<=8'h30;

default: row1_val<=8'h30;

endcase

end

parameter IDLE = 8'h00;

parameter DISP_SET = 8'h01; // 显示模式设置parameter DISP_OFF = 8'h03; // 显示关闭parameter CLR_SCR = 8'h02; // 显示清屏parameter CURSOR_SET1 = 8'h06; // 显示光标移动设置parameter CURSOR_SET2 = 8'h07; // 显示开及光标设置parameter ROW1_ADDR = 8'h05; // 写第1行起始地址parameter ROW1_0 = 8'h04;

reg [5:0] current_state, next_state; // 现态、次态

always @ (posedge lcd_clk, negedge rst_n)

if(!rst_n) current_state <= IDLE;

else current_state <= next_state;//在时钟信号作用期间,次态重复

的赋给现态

always

begin

case(current_state)

IDLE : next_state = DISP_SET;

DISP_SET : next_state = DISP_OFF;

DISP_OFF : next_state = CLR_SCR;

CLR_SCR : next_state = CURSOR_SET1;

CURSOR_SET1 : next_state = CURSOR_SET2;

CURSOR_SET2 : next_state = ROW1_ADDR;

ROW1_ADDR : next_state = ROW1_0;

ROW1_0 : next_state = ROW1_ADDR;

default : next_state = IDLE ;

endcase

end

always @ (posedge lcd_clk, negedge rst_n)

begin

if(!rst_n)

begin

lcd_rs <= 0;

lcd_data <= 8'hxx;

end

else

begin

case(next_state)

IDLE : lcd_rs <= 0;

DISP_SET : lcd_rs <= 0;

DISP_OFF : lcd_rs <= 0;

CLR_SCR : lcd_rs <= 0;

CURSOR_SET1 : lcd_rs <= 0;

CURSOR_SET2 : lcd_rs <= 0;

ROW1_ADDR : lcd_rs <= 0;

ROW1_0 : lcd_rs <= 1;

endcase

case(next_state)

IDLE : lcd_data <= 8'hxx;

DISP_SET : lcd_data <= 8'h38;

DISP_OFF : lcd_data <= 8'h08;

CLR_SCR : lcd_data <= 8'h01;

CURSOR_SET1 : lcd_data <= 8'h04;

CURSOR_SET2 : lcd_data <= 8'h0C;

ROW1_ADDR : lcd_data <= 8'h80;

ROW1_0 : lcd_data <= row1_val[127:120];

endcase

end

end

assign lcd_e = lcd_clk; // 数据在时钟高电平被锁存

assign lcd_rw = 1'b0; // 只写

endmodule

5.下载电路及引脚分配设计

设计中用实验箱自带的50MHz时钟信号作为输入端,用sel0、sel1、sel2三个使能端选通LCD1602液晶屏,EP2C8Q208C8就会工作在给液晶下命令的状态,使得点阵正常工作,如图3.5.1所示。

图3.5.1 输入输出端口电路

引脚分配设计如图3.5.2所示。

图3.5.2 引脚分配

四、实验仿真及结论

1.液晶显示仿真波形

液晶显示仿真波形如图4.1.1所示。

图4.1.1 仿真波形

通过仿真可以看到,液晶的状态转换是:IDLE(00H)DISP_SET(38H)显示模式设置DISP_OFF(08H)显示关闭CLR_SCR(01H)显示清屏

CURSOR_SET1(04H)显示光标移动位置CURSOR_SET2(0CH)显示开关及光标设置ROW1_ADDR(80H)写第一行起始地址

3(33H)(80H)4(34H)(80H)4(34H)(80H)5(36H)(80H)6(36H) (80H)6(36H)(80H)7(37H)(80H)8(38H)(80H)8(38H)(80H) 9(39H)(80H)0(30H)……后面的数字就可依次循环了,直到拨码开关复位后停止显示,回到DISP_SET(38H)显示模式设置的状态。而lcd_rw一直为低电平处于写状态,并且当lcd_rs为高电平时lcd_data送的是数字,低电平时写第一行的地址。显示过程中偶数的显示时间是奇数显示时间的二倍。

2.实验结论

本次设计实验完成了LCD1602液晶显示实验的原程序中,顶层文件与子模块的合并,实现了液晶屏第一行首个字符位置处0~9的循环显示,并且可以用一个拨码开关控制现实的复位功能。

仿真结果与实验现象均说明了,程序设计的功能和时序基本无误,整个系统功能已实现,实验目的基本达到。

五、实验总结与体会

1.实验总结

这是FPGA第二次课的实验,到这次课编程的知识学得还不是很透彻,虽然程序写的比较吃力,但最终还是实现了要求的功能,现在我先说一说在实验时主要遇到的问题。

最开始的时候程序写好了,下载试验箱上却没有任何反应,我还以为程序有问题,仔细查了一遍才想起来,BM8拨码开关一直是处于复位状态的,再次下载后,使拨码开关置高,显示屏果然显示数字了。但是另外一问题又出现了,就是这些数字是随机出现的,毫无规律可言,与0~9依次循环的顺序相差甚大,初步判断应该是lcd_data赋值的程序段有问题。再仔细检查这段程序,发现此时的赋值程序应该由时钟控制,而不是一股脑的全部赋值。我用lcd_clk脉冲信号的二分频信号lcd_clk1控制赋值的速度,编译下载后,液晶就能正常显示了。

2.实验体会

通过这次实验,我了解了LCD1602的基本原理,学习了用Verilog HDL语言编程控制液晶的静态和动态字符显示,这为我在后面的综合实验中,给蜂鸣器音乐发生器实验增加功能提供了思路。总体来说,这次实验让我在第一次实验的基础上又学到了很多东西,我感到受益匪浅。

TFT-LCD液晶显示器的工作原理

TFT-LCD液晶显示器的工作原理 我一直记得,当初刚开始从事有关液晶显示器相关的工作时,常常遇到的困扰,就是不知道怎么跟人家解释,液晶显示器是什么? 只好随着不同的应用环境,来解释给人家听。在最早的时候是告诉人家,就是掌上型电动玩具上所用的显示屏,随着笔记型计算机开始普及,就可以告诉人家说,就是使用在笔记型计算机上的显示器。随着手机的流行,又可以告诉人家说,是使用在手机上的显示板。时至今日,液晶显示器,对于一般普罗大众,已经不再是生涩的名词。而它更是继半导体后另一种可以再创造大量营业额的新兴科技产品,更由于其轻薄的特性,因此它的应用范围比起原先使用阴极射线管(CRT,cathode-ray tube)所作成的显示器更多更广。 如同我前面所提到的,液晶显示器泛指一大堆利用液晶所制作出来的显示器。而今日对液晶显示器这个名称,大多是指使用于笔记型计算机,或是桌上型计算机应用方面的显示器。也就是薄膜晶体管液晶显示器。其英文名称为Thin-film transistor liquid crystal display,简称之TFT LCD。从它的英文名称中我们可以知道,这一种显示器它的构成主要有两个特征,一个是薄膜晶体管,另一个就是液晶本身。我们先谈谈液晶本身。 液晶(LC,liquid crystal)的分类 我们一般都认为物质像水一样都有三态,分别是固态液态跟气态。其实物质的三态是针对水而言,对于不同的物质,可能有其它不同的状态存在。以我们要谈到的液晶态而言,它是介于固体跟液体之间的一种状态,其实这种状态仅是材料的一种相变化的过程,只要材料具有上述的过程,即在固态及液态间有此一状态存在,物理学家便称之为液态晶体。

LCD1602液晶显示实验实验报告及程序.doc

实验三 LCD1602 液晶显示实验 姓名专业学号成绩 一、实验目的 1.掌握 Keil C51 软件与 proteus 软件联合仿真调试的方法; 2.掌握 LCD1602液晶模块显示西文的原理及使用方法; 3.掌握用 8 位数据模式驱动 LCM1602液晶的 C 语言编程方法; 4.掌握用 LCM1602液晶模块显示数字的 C 语言编程方法。 二、实验仪器与设备 1.微机一台 C51 集成开发环境仿真软件三、 实验内容 1.用 Proteus 设计一 LCD1602液晶显示接口电路。要求利用 P0口接 LCD1602 液晶的数据端, ~做 LCD1602液晶的控制信号输入端。 ~口扩展 3 个功能 键 K1~K3。参考电路见后面。 2.编写程序,实现字符的静态和动态显示。显示字符为 第一行:“ 1. 姓名全拼”,第二行:“ 2. 专业全拼 +学号”。 3.编写程序,利用功能键实现字符的垂直滚动和水平滚动等效果显示。显 示字符为: “1. 姓名全拼 2.专业全拼+学号EXP8DISPLAY ” 主程序静态显示“ My information!” 四、实验原理

液晶显示的原理:采用的 LCD显示屏都是由不同部分组成的分层结构,位于最后面的一层是由荧光物质组成的可以发射光线的背光层,背光层发出的光线在穿过第一层偏振过滤层之后进入包含成千上万水晶液滴的液晶层,液晶层中的水晶液滴都被包含在细小的单元格结构中,一个或多个单元格构成屏幕上的一个像素。当 LCD中的电极产生电场时,液晶分子就会产生扭曲,从而将穿越其中的光线进行有规则的折射,然后经过第二层过滤层的过滤在屏幕上显示出来。 1.LCD1602采用标准的 14 引脚(无背光)或 16 引脚(带背光)接口,各 引脚接口说明如表: 编号符号引脚说明编号符号引脚说明 1VSS电源地9D2数据 2VDD电源正极10D3数据 3VL液晶显示偏压11D4数据 4RS数据/命令选择12D5数据 5R/W读/写选择13D6数据 6E使能信号14D7数据 7D0数据15BLA背光源正极 8D1数据16BLK背光源负极2. 1602 液晶模块内部的控制器共有11 条控制指令,如表所示:

LED点阵显示屏实验报告解析

16?16点阵LED电子显示屏的设计 摘要:文章介绍了基于单片机AT89C51的16?16点阵LED电子显示屏的设计。分别阐述了显示屏显示的基本原理,硬件设计、控制方法及其程序的实现。经过调试和分析,设计的结果能够实现对汉字的静态和动态显示,动态显示的内容有多种方式,同时又可通过上位机更新显示的内容。 关键字:AT89C51;16?16点阵;LED;显示屏 一绪论 LED显示屏是利用发光二极管点阵模块或像素单元组成的平面式显示屏幕。它具有发光效率高、使用寿命长、组态灵活、色彩丰富以及对室内外环境适应能力强等优点。并广泛的应用于公交汽车,码头,商店,学校和银行等公共场合的信息发布和广告宣传。LED显示屏经历了从单色,双色图文显示屏到现在的全彩色视频显示屏的发展过程,自20世纪八十年代开始,LED显示屏的应用领域已经遍布交通、电信、教育、证券、广告宣传等各方面。 1 LED点阵显示屏概述 LED点阵显示屏的构成型式有多种,其中典型的有两种。一种把所需展示的广告信息烧写固化到EPROM芯片内,能进行固定内容的多幅汉字显示,称为单显示型;另一种在机内设置了字库、程序库,具有程序编制能力,能进行内容可变的多幅汉字显示,称可编程序型。 目前,国内的LED点阵显示屏大部分是单显示型,其显示的内容相对较少,显示花样较单一。一般在产品出厂时,显示内容就已写入显示屏控制系统中的EPROM芯片内,当需要更换显示内容时就非常困难,这样使该类型的显示屏使用范围受到了限制。国内的另一种LED显示屏——可编程序型LED显示屏,虽然增加了显示屏系统的编程能力,显示内容和显示花样都有所增加,但也存在着更换显示内容不便的缺点。随着社会经济的迅速发展,如今的广告牌都存在着显示内容丰富、信息量大、信息更换速度快等特点。因此传统的LED显示屏控制系统已经越来越不能满足现代广告宣传业的需要。而利用PC机通信技术控制LED显示屏,则具有显示内容丰富,信息更换灵活等优点。 2 LED显示屏控制技术状况 显示屏的控制系统包括输入接口电路、信号控制、转换和数字化处理电路及输出接口电路等,涉及的具体技术很多,其关键技术包括串行传输与并行传输技术、动态扫描与静态锁存技术、自动检测及远程控制技术等。

液晶显示器工作原理

液晶显示器工作原理 现在市场上的液晶显示器都采用了TFT液晶面板,这种液晶面板的是目前最先进的液晶显示器技术,从结构上看,液晶屏由两片线性偏光器和一层液晶所构成。其中,两片线性偏光器分别位于液晶显示器的内外层,每片只允许透过一个方向的光线,它们放置的方向成90度交叉(水平、垂直),也就是说,如果光线保持一个方向射入,必定只能通过某一片线性偏光器,而无法透过另一片,默认状态下,两片线性偏光器间会维持一定的电压差,滤光片上的薄膜晶体管就会变成一个个的小开关,液晶分子排列方向发生变化,不对射入的光线产生任何影响,液晶显示屏会保持黑色。一旦取消线性偏光器间的电压差,液晶分子会保持其初始状态,将射入光线扭转90度,顺利透过第二片线性偏光器,液晶屏幕就亮起来了。当然这是一个很简单的原理模型,真正的液晶显示器内还有更复杂的电路结构。 红绿蓝三原色大家都知道,当这三种颜色同时混合时就会产生白色,这当然实在三原色强度一样的情况下才能够显示器纯正的白色,这样,从图中我们可以看见液晶面板的每一个像素中都有三种原色,这三种原色如果强度不同变化就可以产生不同的混色效果,这样全屏就有1024×768这样的像素,所以真实分辨率就是1024×768。低端的液晶显示板,各个基色只能表现6位色,即2的6次方=64种颜色.可以很简单的得出,每个独立像素可以表现的最大颜色数是64×64× 64=262144种颜色,高端液晶显示板利用FRC技术使得每个基色则可以表现8位色,即2的8次方=256种颜色,则像素能表现的最大颜色数为 256×256×256=16777216种颜色.这种显示板显示的画面色彩更丰富,层次感也好.现在基本上显示器都拥有FRC技术,可以显示器16777216种颜色 什么是TFT-LCD 其中彩色LCD又分为STN和TFT两种屏,其中TFT-LCD是英文Thin Film Transi stor-Liquid Crystal Display的缩写,即薄膜晶体管液晶显示器,也就是大家 常说的真彩液晶显示屏,显示效果较好;而DSTN-LCD,即双扫瞄液晶显示器,则是STN-LCD的一种显示 液晶是一种介于液体和固体之间的特殊物质,它具有液体的流态性质和固体的光学性质。当液晶受到电压的影响时,就会改变它的物理性质而发生形变,此时通过它的光的折射角度就会发生变化,而产生色彩。 液晶屏幕后面有一个背光,这个光源先穿过第一层偏光板,再来到液晶体上,而当光线透过液晶体时,就会产生光线的色泽改变,从液晶体射出来的光线,还得必须经过一块彩色滤光片以及第二块偏光板。由于两块偏光板的偏振方向成90度,再加上电压的变化和一些其它的装置,液晶显示器就能显示我们想要的颜色了。 液晶显示有主动式和被动式两种,其实这两种的成像原理大同小异,只是背光源和偏光板的设计和方向有所不同。主动式液晶显示器又使用了fet场效晶体管以及共通电极,这样可以让液晶体在下一次的电压改变前一直保持电位状态。这样主动式液晶显示器就不会产生在被动式液晶显示器中常见的鬼影、或是画面延迟的残像等。现在最流行的主动式液晶屏幕是tft(thin film transistor薄

LED灯实验报告

mcs-51单片机接口技术实验 适用:电气类专业本科学生 实验报告 实验一熟悉proteus仿真模拟器,led花样表演 一、实验目的 掌握以下方法: 1.在proteus的环境下,设计硬件原理图; 2.在keilc集成环境下设计c51语言程序; 2.在proteus的环境下,将硬件原理图与软件联接仿真运行。 二、实验环境 1.个人微机,windows操作系统 2.proteus仿真模拟器 3.keilc编程 三、实验题目 基本题:使用8051的并口带动8个led发光二极管显示一种花样表演。提高题:使用一个键切换实现3种以上花样表演。 四、实验类型: 学习、模仿与简单设计型。 五、实验步骤: 0、进入isis,先选择需要的元件,然后设计电原理图,保存文件; 1、在keilc软件集成环境下编写源程序,编译工程文件; 2、将所设计的硬件原理图与目标代码程序相联接; 4、按play键,仿真运行程序。 附,可能用到的元件名称: cpu:at89c51或任一种mcs-51家族cpu; 晶振:crystal; 电容器:capacitors,选22pf 电解电容:cap-elec或genelect10u16v 复位电阻:minres10k 限流电阻:minres330r 按键:button led:led-blue/red/yellow或diode-led (一)接线图如下: (二).基础花样 (四)程序流程图 (五)c程序 #include <> #define uint unsigned int #define uchar unsigned char const tab1[]={0xfe,0xfd,0xfb,0xf7,0xef,0xdf,0xbf,0x7f, /*正向流水灯*/ 0xbf,0xdf,0xef,0xf7,0xfb,0xfd,0xfe,0xff,};/*反向流水灯*/ const tab2[]={0xff,0x00,0xff,0x00,0xff,0x00,}; void delay() { uint i,j; for(i=0;i<256;i++) for(j=0;j<256;j++)

16乘16点阵显示实验报告剖析

实验报告 实验名称: [16×16点阵显示实验] 姓名: [] 学号: [201] 指导教师: [解*] 实验时间: [2013年4月25日] 信息与通信工程学院

16×16点阵显示实验 1实验要求 任务1:将所给程序改正使结果为正显示; 任务2:使显示四个字、八个字。 2实验原理 2.1 LED显示器结构和原理 1>8*8LED点阵的结构 图1 8*8LED点阵结构图 从图1中可以看出,8*8LED点阵共由64个发光二极管组成,每个发光二极管是放置在行线和列线的交叉点上,当对应的某一行置1高电平,且某一列置0低电平,则相应的发光二极管就亮;因此要用8*8LED点阵来显示一个字符或汉字,只需要根据字符或汉字图形中的线条或笔画,通过点亮多个发光二极管来勾勒出字符或汉字的线条或笔画就行了。当要比较完美的显示一般的汉字,单个8*8LED点阵模块很难做到,因为LED的点数(也称为像素点)不够多,因此要显示汉字的话,需要多个8*8LED点阵拼合成一个显示屏。假如用4个8*8LED点阵模块拼成16*16的点阵,即能满足一般汉字的显示。但要显示信息量大的图形,则需要n个多个8*8LED点阵,拼装成一个大屏幕才行。

LED点阵显示器最大的特点是亮度高、功耗较低、寿命长、容易控制等,因此它的应用很广,常用在广场、车站、商业广告等室外的显示。 2>8*8LED点阵的封装和引脚规律 64个发光二极管按照行共阳、列共阴4个一组的方式封装成一个模块,这样8*8LED 点阵模块就有8行、8列共16个引脚。其实物图如图2,电路模块符号图如图3。 图2 8*8LED点阵实物图图3 8*8LED点阵符号图但8*8LED点阵的16个引脚并不是很有规律,千万不要想象成1~8个引脚是行,9~16个引脚是列。而且不同产品的点阵外部引脚排列规律还可能不一样。以下是NLB1388SRA 和LDM1388SRA两个型号点阵引脚对应行、列的关系表: 行号H0 H1 H2 H3 H4 H5 H6 H7 引脚号9 14 8 12 1 7 2 5 列号L0 L1 L2 L3 L4 L5 L6 L7 引脚号13 3 4 10 6 11 15 16 假如你买到一块新的8*8LED点阵,又没有关于它的相关资料,那你只有自己用万用表或通过VCC电源串接一个510欧姆的电阻来检测了。 2.2 LPM_ROM的应用 该模块为逻辑宏模块存储器。其应用过程如下。 1选择模块

实验七 点阵LED屏汉字显示实验

教案(首页)

实验七点阵LED屏汉字显示 一、实验目的 掌握LED点阵显示的方法,深入了解显示的思路。 二、实验说明 LED点阵显示与LED数码显示原理基本相同,要用LED点阵显示组成图形或者字体需要不断的刷新点阵。 三、实验电路原理图 四、实验设备与器件 DLDP-MCU30单片机最小系统模块;DLDP-MCU27 1、在“DLDP-MCU30单片机最小系统模块”上,将“EA”接“+5V”端。 2、使用排线将DLDP-MCU30单片机模块的P0、P1、P2、P3连接到DLDP-MCU27模块的L1、L9,H1,H9,编写实验程序并编译代码生成.HEX文件。 3、将.HEX文件下载至AT89S52单片机中。 4、观察实验现象,分析实验程序的正确性。 六、参考程序

#include #include #define uchar unsigned char #define uint unsigned int uchar code tab1[]={ 0x80,0x00,0x80,0x00,0x80,0x00,0x80,0x00,0x80,0x00,0x84,0x20,0x84,0x20,0x84,0x20, 0x84,0x20,0x84,0x20,0x84,0x20,0x84,0x20,0x84,0x20,0xFE,0x3F,0x04,0x20,0x00,0x00, };//山 uchar code tab2[]={ 0x40,0x00,0x40,0x00,0xFE,0x3F,0x20,0x00,0x10,0x01,0x10,0x01,0x08,0x01,0xF8,0x1F, 0x00,0x01,0x10,0x05,0x30,0x09,0x18,0x11,0x08,0x31,0x44,0x21,0x80,0x01,0x00,0x01, };//东 uchar code tab3[]={ 0x18,0x06,0x18,0x06,0xD8,0xFF,0x7F,0x06,0x18,0x03,0x1C,0x0F,0xBC,0x0D,0xFE,0x7F, 0x1E,0x0C,0x9B,0x0D,0x98,0x3D,0xD8,0x6C,0x78,0xEC,0x18,0xCC,0x18,0x0F,0x18,0x06, };//栋 uchar code tab4[]={ 0xCC,0x3F,0x18,0x36,0x03,0x36,0xF6,0x73,0xD8,0xF3,0x8F,0xF1,0xCC,0x3C,0xFC,0x19, 0x80,0x01,0xFF,0xFF,0xC0,0x03,0xE0,0x07,0xB0,0x1D,0x9C,0xF1,0x87,0x61,0x80,0x01, };//梁 uchar code tab5[]={ 0xE0,0x30,0x3E,0x33,0x30,0x36,0x30,0x36,0xFF,0x30,0x38,0x33,0x78,0x36,0xFC,0x36, 0x3C,0xF0,0x36,0x3E,0xF3,0x33,0x30,0x30,0x30,0x30,0x30,0x30,0x30,0x30,0x30,0x30, };//科

液晶显示器的工作原理

液晶显示器的工作原理 我们很早就知道物质有固态、液态、气态三种型态。液体分子质心的排列虽然不具有任何规律性,但是如果这些分子是长形的(或扁形的),它们的分子指向就可能有规律性。于是我们就可将液态又细分为许多型态。分子方向没有规律性的液体我们直接称为液体,而分子具有方向性的液体则称之为“液态晶体”,又简称“液晶”。液晶产品其实对我们来说并不陌生,我们常见到的手机、计算器都是属于液晶产品。液晶是在1888年,由奥地利植物学家Reinitzer发现的,是一种介于固体与液体之间,具有规则性分子排列的有机化合物。一般最常用的液晶型态为向列型液晶,分子形状为细长棒形,长宽约1nm~10nm,在不同电流电场作用下,液晶分子会做规则旋转90度排列,产生透光度的差别,如此在电源ON/OFF下产生明暗的区别,依此原理控制每个像素,便可构成所需图像。 1. 被动矩阵式LCD工作原理 TN-LCD、STN-LCD和DSTN-LCD之间的显示原理基本相同,不同之处是液晶分子的扭曲角度有些差别。下面以典型的TN-LCD为例,向大家介绍其结构及工作原理。 在厚度不到1厘米的TN-LCD液晶显示屏面板中,通常是由两片大玻璃基板,内夹着彩色滤光片、配向膜等制成的夹板? 外面再包裹着两片偏光板,它们可决定光通量的最大值与颜色的产生。彩色滤光片是由红、绿、蓝三种颜色构成的滤片,有规律地制作在一块大玻璃基

板上。每一个像素是由三种颜色的单元(或称为子像素)所组成。假如有一块面板的分辨率为1280×1024,则它实际拥有3840×1024个晶体管及子像素。每个子像素的左上角(灰色矩形)为不透光的薄膜晶体管,彩色滤光片能产生RGB三原色。每个夹层都包含电极和配向膜上形成的沟槽,上下夹层中填充了多层液晶分子(液晶空间不到5×10-6m)。在同一层内,液晶分子的位置虽不规则,但长轴取向都是平行于偏光板的。另一方面,在不同层之间,液晶分子的长轴沿偏光板平行平面连续扭转90度。其中,邻接偏光板的两层液晶分子长轴的取向,与所邻接的偏光板的偏振光方向一致。在接近上部夹层的液晶分子按照上部沟槽的方向来排列,而下部夹层的液晶分子按照下部沟槽的方向排列。最后再封装成一个液晶盒,并与驱动IC、控制IC 与印刷电路板相连接。 在正常情况下光线从上向下照射时,通常只有一个角度的光线能够穿透下来,通过上偏光板导入上部夹层的沟槽中,再通过液晶分子扭转排列的通路从下偏光板穿出,形成一个完整的光线穿透途径。而液晶显示器的夹层贴附了两块偏光板,这两块偏光板的排列和透光角度与上下夹层的沟槽排列相同。当液晶层施加某一电压时,由于受到外界电压的影响,液晶会改变它的初始状态,不再按照正常的方式排列,而变成竖立的状态。因此经过液晶的光会被第二层偏光板吸收而整个结构呈现不透光的状态,结果在显示屏上出现黑色。当液晶层不施任何电压时,液晶是在它的初始状态,会把入射光的方向扭转90度,因此让背光源的入射光能够通过整个结构,结果在显示屏上出现白

液晶的电光特性实验报告含思考题

西安交通大学实验报告 第1页(共9页)课程:_______近代物理实验_______ 实验日期:年月日 专业班号______组别_______交报告日期:年月日 姓名__Bigger__学号__报告退发:(订正、重做) 同组者__________教师审批签字: 实验名称:液晶的电光特性 一、 二、实验目的 1) 2)了解液晶的特性和基本工作原理; 3) 4)掌握一些特性的常用测试方法; 5) 6)了解液晶的应用和局限。 三、 四、实验仪器 激光器,偏振片,液晶屏,光电转换器,光具座等。 五、 六、实验原理 液晶分子的形状如同火柴一样,为棍状,长度在十几埃,直径为4~6埃,液晶层厚度一般为 5-8微米。排列方式和天然胆甾相液晶的主要区别是:扭曲向列的扭曲角是人为可控的,且“螺距” 与两个基片的间距和扭曲角有关。而天然胆甾相液晶的螺距一般不足1um,不能人为控制。扭曲向

列排列的液晶对入射光会有一个重要的作用,他会使入射的线偏振光的偏振方向顺着分子的扭曲方向旋转,类似于物质的旋光效应。在一般条件下旋转的角度(扭曲角)等于两基片之间的取向夹角。 对于介电各向异性的液晶当垂直于螺旋轴的方向对胆甾相液晶施加一电场时,会发现随着电场的增大,螺距也同时增大,当电场达到某一阈值时,螺距趋于无穷大,胆甾相在电场的作用下转变成了向列相。这也称为退螺旋效应。由于液晶分子的结构特性,其极化率和电导率等都具有各向异性的特点,当大量液晶分子有规律的排列时,其总体的电学和光学特性,如介电常数、折射率也将呈现出各向异性的特点。如果我们对液晶物质施加电场,就可能改变分子排列的规律。从而使液晶材料的光学特性发生改变,1963年有人发现了这种现象。这就是液晶的的电光效应。 为了对液晶施加电场,我们在两个玻璃基片的内侧镀了一层透明电极。将这个由基片电极、取向膜、液晶和密封结构组成的结构叫做液晶盒。根据液晶分子的结构特点,假定液晶分子没有固定的电极,但可被外电场极化形成一种感生电极矩。这个感生电极矩也会有一个自己的方向,当这个方向以外电场的方向不同时,外电场就会使液晶分子发生转动,直到各种互相作用力达到平衡。液晶分子在外电场作用下的变化,也将引起液晶合中液晶分子的总体排列规律发生变化。当外电场足够强时,两电极之间的液晶分子将会变成如图1中的排列形式。这时,液晶分子对偏振光的旋光作用将会减弱或消失。通过检偏器,我们可以清晰地观察到偏振态的变化。大多数液晶器件都是这样工作的。 图1液晶分子的扭曲排列变化 若将液晶盒放在两片平行偏振片之间,其偏振方向与上表面液晶分子取向相同。不加电压时,入射光通过起偏器形成的线偏振光,经过液晶盒后偏振方向随液晶分子轴旋转90°,不能通过检偏器;施加电压后,透过检偏器的光强与施加在液晶盒上电压大小的关系见图2;其中纵坐标为透光强度,横坐标为外加电压。最大透光强度的10%所对应的外加电压值称为阈值电压(U th),标志了液晶电光效应有可观察反应的开始(或称起辉),阈值电压小,是电光效应好的一个重要指标。最大透光强度的90%对应的外加电压值称为饱和电压(U r),标志了获得最大对比度所需的外加电压数值,U 小则易获得良好的显示效果,且降低显示功耗,对显示寿命有利。对比度D r=I max/I min,其中I max r 为最大观察(接收)亮度(照度),I min为最小亮度。陡度β=U r/U th即饱和电压与阈值电压之比。 图2液晶电光效应关系图

dsp实验报告 哈工大实验三 液晶显示器控制显示实验

实验三液晶显示器控制显示实验 一. 实验目的 通过实验学习使用2407ADSP 的扩展I/O 端口控制外围设备的方法,了解液晶显示器的显示控制原理及编程方法。 二. 实验设备 计算机,ICETEK-LF2407-EDU 实验箱。 三.实验原理 ICETEK-LF2407-A 是一块以TMS320LF2407ADSP 为核心的DSP 扩展评估板,它通过扩展接口与实验箱的显示/控制模块连接,可以控制其各种外围设备。 液晶显示模块的访问、控制是由2407ADSP 对扩展I/O 接口的操作完成。 控制I/O 口的寻址:命令控制I/O 接口的地址为0x8001,数据控制I/O 接口的地址为0x8003 和0x8004,辅助控制I/O 接口的地址为0x8002。 显示控制方法: ◆液晶显示模块中有两片显示缓冲存储器,分别对应屏幕显示的象素,向其中写入数 值将改变显示,写入“1”则显示一点,写入“0”则不显示。其地址与象素的对应 方式如下: ◆发送控制命令:向液晶显示模块发送控制命令的方法是通过向命令控制I/O 接口 写入命令控制字,然后再向辅助控制接口写入0。下面给出的是基本命令字、解释 和 C 语言控制语句举例。 ?显示开关:0x3f 打开显示;0x3e 关闭显示; ?设置显示起始行:0x0c0+起始行取值,其中起始行取值为0 至63; ?设置操作页:0x0b8+页号,其中页号取值为0-7; ?设置操作列:0x40+列号,其中列号为取值为0-63; ◆写显示数据:在使用命令控制字选择操作位置(页数、列数)之后,可以将待显示的 数据写入液晶显示模块的缓存。将数据发送到相应数据控制I/O 接口即可。

LCD液晶显示实验实验报告及程序

实验三 LCD1602液晶显示实验 姓名专业学号成绩 一、实验目的 1.掌握Keil C51软件与proteus软件联合仿真调试的方法; 2.掌握LCD1602液晶模块显示西文的原理及使用方法; 3.掌握用8位数据模式驱动LCM1602液晶的C语言编程方法; 4.掌握用LCM1602液晶模块显示数字的C语言编程方法。 二、实验仪器与设备 1.微机一台 C51集成开发环境仿真软件 三、实验内容 1.用Proteus设计一LCD1602液晶显示接口电路。要求利用P0口接LCD1602 液晶的数据端,~做LCD1602液晶的控制信号输入端。~口扩展3个功能键 K1~K3。参考电路见后面。 2.编写程序,实现字符的静态和动态显示。显示字符为 第一行:“1.姓名全拼”,第二行:“2.专业全拼+学号”。 3.编写程序,利用功能键实现字符的垂直滚动和水平滚动等效果显示。显示字 符为:

“1.姓名全拼 2.专业全拼+学号 EXP8 DISPLAY ” 主程序静态显示“My information!” 四、实验原理 液晶显示的原理:采用的LCD显示屏都是由不同部分组成的分层结构,位于最后面的一层是由荧光物质组成的可以发射光线的背光层,背光层发出的光线在穿过第一层偏振过滤层之后进入包含成千上万水晶液滴的液晶层,液晶层中的水晶液滴都被包含在细小的单元格结构中,一个或多个单元格构成屏幕上的一个像素。当LCD中的电极产生电场时,液晶分子就会产生扭曲,从而将穿越其中的光线进行有规则的折射,然后经过第二层过滤层的过滤在屏幕上显示出来。 1.LCD1602采用标准的14引脚(无背光)或16引脚(带背光)接口,各引脚 接口说明如表:

LCD1602液晶显示实验要点

实验报告 实验名称: [LCD1602液晶显示实验]姓名: 学号: 指导教师: 实验时间: [2013年6月15日] 信息与通信工程学院

LCD1602液晶显示实验 1.实验原理 1.1 基本原理 1.1.1 1602字符型LCD简介 字符型液晶显示模块是一种专门用于显示字母、数字、符号等点阵式LCD,目前常用16*1,16*2,20*2和40*2行等的模块。 1.1.2 1602LCD的基本参数及引脚功能 1602LCD分为带背光和不带背光两种,基控制器大部分为HD44780,带背光的比不带背光的厚,是否带背光在应用中并无差别,两者尺寸差别如下图1-2所示: 图1-2 1602LCD尺寸图 1.1602LCD主要技术参数: 显示容量: 16×2个字符 芯片工作电压: 4.5~5.5V 工作电流: 2.0mA(5.0V) 模块最佳工作电压: 5.0V 字符尺寸: 2.95×4.35(W×H)mm 2.引脚功能说明: 1602LCD采用标准的14脚(无背光)或16脚(带背光)接口,各引脚接口说明如表: 表1-3引脚接口说明表 编 符号引脚说明编号符号引脚说明 号 1 VSS 电源地9 D 2 数据 2 VDD 电源正极10 D 3 数据 3 VL 液晶显示偏压11 D 4 数据 4 RS 数据/命令选择12 D 5 数据 5 R/W 读/写选择13 D 6 数据 6 E 使能信号14 D 7 数据 7 D0 数据15 BLA 背光源正极 8 D1 数据16 BLK 背光源负极

1.1.3 1602LCD的指令说明及时序 1602液晶模块内部的控制器共有11条控制指令,如表1-4所示: 表1-4 控制命令表 序号指令RS R/W D7 D6 D5 D4 D3 D2 D1 D0 1 清显示0 0 0 0 0 0 0 0 0 1 2 光标返回0 0 0 0 0 0 0 0 1 * 3 置输入模式0 0 0 0 0 0 0 1 I/D S 4 显示开/关控制0 0 0 0 0 0 1 D C B 5 光标或字符移位0 0 0 0 0 1 S/C R/L * * 6 置功能0 0 0 0 1 DL N F * * 7 置字符发生存贮器 地址 0 0 0 1 字符发生存贮器地址 8 置数据存贮器地址0 0 1 显示数据存贮器地址 9 读忙标志或地址 0 1 BF 计数器地址 10 写数到CGRAM或 DDRAM) 1 0 要写的数据内容 11 从CGRAM或 DDRAM读数 1 1 读出的数据内容 1602液晶模块的读写操作、屏幕和光标的操作都是通过指令编程来实现的。(说明:1为高电平、0为低电平)读写操作时序如图1-5和1-6所示: 图1-5 读操作时序

显示屏工作原理

2 显示扫描原理 各个企业制造的LED显示屏的控制结构有所不同,但是,显示屏的显示扫描电路基本相同。双基色LED显示屏的显示扫描电路如图1所示。在图1中,IC1、IC2是数据锁存器电路74HC595,分别锁存红色、绿色数据,它们的性能是:①串行输入8位并行输出;②数据锁存、数据清除功能;③输出具有比较强的驱动能力。电阻RPB1、RPB2是限流电阻,根据颜色和模块的亮度来选择他们的数值。ML1是双色LED显示模块,共有8行×8列=64个LED,其中,8个引脚是红色信号输入端,8个引脚是绿色信号输入端,8个引脚是行控制输入端,共有24个引脚。三极管 Q0,Q2,…Q7是行选通、驱动作用。IC3是3-8地址译码电路74HC138,8个选通输出端分别控制相应的行。图中电路是显示屏的原理电路,其数据传送方式是数据传送与行信号异步进行:首先,同时传送8位红、绿颜色数据到电路IC1、IC2并将数据锁存,然后再传送行控制信号点亮一行LED,接下来重复上述操作,只是行信号移至下一行,依次到第八行为止,即是一次完整的扫描过程。 显示扫描电路板的设计要求具有比较低的生产成本,因此,许多企业都设计成双面电路板,这样可以节省约三分之一的电路板成本。在显示模块的相应尺寸范围内,要安放上图中的全部元器件,其对应的双层印刷电路板编制具有较大难度,所以IC1电路特别适合点阵扫描原理的LED显示模块的驱动。显示扫描电路都是采用串行方式传送数据,这样既可以节省电路板的位置,又适合显示屏与计算机之间的数据传送。 3 工作状态分析 显示扫描电路的原理是动态扫描方式,不能静态测量其工作电流,因此,要计算出工作电流,就要分析动态参数。图2是一个LED的工作电路图。电路中Q8是驱动电路,正端接电源,控制端接74HC138的输出,输出端接LED发光二极管D,与限流电阻连接,电阻接74HC595的数据输出端。LED的点亮方式是:控制74HC138的片选信号无效,为不选通,之后74HC595输出电平,低电平为点亮信号,再选通74HC138,控制输出选通信号,此时,有电流I0从Q8输出,流过D、R1后,进入74HC595的数据输出端。 在图中,V ab是加在LED上的电压,红、绿色高亮度发光二极管的压降均约2~3V,Vbc是加在限流电阻两端上的电压,通过调节限流电阻的数值,就可以改变电路的工作电流I0,当电阻R1=0时,电路依靠74HC595的输出有源电阻作为限流电阻。 在扫描电路中可以看出,电路结构比较简单,合理地调整各个部分工作参数就能够使电路工作在最佳状态。在选择电路时,还要准确掌握各个公司电路的性能,以及之间的技术参数的差别。不同型号的器件技术参数也有所区别,表1是74H C595的技术参数,表中给出了Texas Instru-ments,ST,Philips公司的74HC595的技术参数。在表中可以看出不同的公司生产的电路略有不同,因此,一块显示屏尽量要使用同一公司的电路器件,以免由于参数的差别影响显示屏的显示效果。 在表1中,Iik为输入尖峰脉冲电流,Iok为输出尖峰脉冲电流,I0为连续输出电流,Vcc为最高供电电压,f max表示在25℃时的最大工作频率(随着负载电容的不同,工作频率也不同),ta为工作温度。表中元件SN74HC595、M74HC595、74HC595对应公司是Texas Instryments,ST,Philips。 4 亮度和颜色的调整 4.1 亮度和颜色的调整 制造大屏幕时,首先要按照亮度指标选择LED或者显示模块,其次是根据选择的产品红、绿、蓝颜色的亮度比来确定哪一种颜色为基准,一般是将亮度比例低的一种作为亮度基准,当基准的一种已经达到最大亮度时,调整另外一种(双色)或两种(全彩)。显示屏幕是双色时,大多数情况下以绿色为基准,调整红色二极管的工作电流。一般是降低工作电流,以平衡颜色黄色为调整标准,这样就要减小整个显示屏幕的亮度。显示屏的颜色调整至最佳平衡状态,则会使屏的亮度降低。如果显示屏幕为了

液晶显示器电源工作原理及维修

液晶显示器电源工作原理及维修 详细介绍液晶显示器电源的作用、工作原理、维修及代换, 一、电源的作用 1、电源的基本知识 液晶电源的作用是为整机提供能量,常见的电源适配器外观如图所示 它的输入是220V交流电,输出为12V、4A直流电。电源适配器的内部电路结构如图所示

2、液晶电源的常见存在形式 常见的液晶电源有内置式和外置式两种。内置式电源一般是和高压板做在一起,形成二合一电源板,驱动板需要的各路电压均有电源板产生。外置式电源也就是通常所说的电源适配器,它一般是220V交流电输入,12V直流电输出,驱动板需要的其他电原在驱动板上进行变换。 二、电源的工作原理 由于LCD采用低电压工作,而一般市电提供提是110V或220V的交流电压,因此显示器需要配备电源。电源的作用是将市电的220V交流电压转变成12V或其它低压直流电,以向液晶显示器供电。 LCD显示器中的电源部分均采用开关电源。由于开关电源具有体积小、重量轻、变换效率高等优点,因此被广泛应用于各种电子产品中,特别是脉宽调制(PWM)型的开关电源。PW M型开关电源的特点是固定开关频率、通过改变脉冲宽度的占空比来调节电压。 PWM开关电源的基本工作原理是:交流电220V输入电源经整流滤波是路变成300V直流电压,再由开关功率管控制和高频变压器降压,得到高频矩形波电压,经整流滤波后获得显示器所需要的各种直流输出电压。脉宽调制器是这类开关电源的核心,它能产生频率固定具脉冲宽度可调的驱动信号,控制开关功率管的导通与截止的占空比,用来调节输出电压的高低,从而达到稳压的目的。 以下将要介绍的电源适配器就是此类开关电源,我们以采用UC3842脉宽调制集成控制器的电源为例讲解相关电路。 1、UC3842的性能特点 (1)它属于电流型单端PWM调制器,具有管脚数量少,外围是路简单、安装调试方便、性能优良、价格低廉等优点。而且通过高频变压器与电网隔离,适合构成无工频变压器的20-50W小功率开关电源。 (2)最高开关频率为500KHZ,频率稳定度高达0.2%。电源效率高,输出电流大,能直接驱动双极型功率晶体管或VMOS管、DMOS管、TMOS管工作。 (3)内部有高稳定的基准电压源,档准值为5V,允许有+0.1%的偏差,温度系数为

液晶的电光特性实验报告含思考题

液晶的电光特性实验报 告含思考题 Revised as of 23 November 2020

西安交通大学实验报告 第 1 页(共 9 页) 课程:_______近代物理实验_______ 实验日期:年月日 专业班号______组别_______交报告日期:年月日 姓名__Bigger__学号__报告退发:(订正、重做) 同组者__ ________教师审批签字: 实验名称:液晶的电光特性 一、实验目的 1)了解液晶的特性和基本工作原理; 2)掌握一些特性的常用测试方法; 3)了解液晶的应用和局限。 二、实验仪器 激光器,偏振片,液晶屏,光电转换器,光具座等。 三、实验原理 液晶分子的形状如同火柴一样,为棍状,长度在十几埃,直径为4~6埃, 液晶层厚度一般为5-8微米。排列方式和天然胆甾相液晶的主要区别是:扭曲 向列的扭曲角是人为可控的,且“螺距”与两个基片的间距和扭曲角有关。而天 然胆甾相液晶的螺距一般不足1um,不能人为控制。扭曲向列排列的液晶对入 射光会有一个重要的作用,他会使入射的线偏振光的偏振方向顺着分子的扭曲 方向旋转,类似于物质的旋光效应。在一般条件下旋转的角度(扭曲角)等于两 基片之间的取向夹角。

对于介电各向异性的液晶当垂直于螺旋轴的方向对胆甾相液晶施加一电场时,会发现随着电场的增大,螺距也同时增大,当电场达到某一阈值时,螺距趋于无穷大,胆甾相在电场的作用下转变成了向列相。这也称为退螺旋效应。由于液晶分子的结构特性,其极化率和电导率等都具有各向异性的特点,当大量液晶分子有规律的排列时,其总体的电学和光学特性,如介电常数、折射率也将呈现出各向异性的特点。如果我们对液晶物质施加电场,就可能改变分子排列的规律。从而使液晶材料的光学特性发生改变,1963年有人发现了这种现象。这就是液晶的的电光效应。 为了对液晶施加电场,我们在两个玻璃基片的内侧镀了一层透明电极。将这个由基片电极、取向膜、液晶和密封结构组成的结构叫做液晶盒。根据液晶分子的结构特点,假定液晶分子没有固定的电极,但可被外电场极化形成一种感生电极矩。这个感生电极矩也会有一个自己的方向,当这个方向以外电场的方向不同时,外电场就会使液晶分子发生转动,直到各种互相作用力达到平衡。液晶分子在外电场作用下的变化,也将引起液晶合中液晶分子的总体排列规律发生变化。当外电场足够强时,两电极之间的液晶分子将会变成如图1中的排列形式。这时,液晶分子对偏振光的旋光作用将会减弱或消失。通过检偏器,我们可以清晰地观察到偏振态的变化。大多数液晶器件都是这样工作的。 图1 液晶分子的扭曲排列变化 若将液晶盒放在两片平行偏振片之间,其偏振方向与上表面液晶分子取向相同。不加电压时,入射光通过起偏器形成的线偏振光,经过液晶盒后偏振方

液晶显示器工作原理

液晶显示器工作原理

液晶显示器工作原理 现在市场上的液晶显示器都采用了TFT液晶面板,这种液晶面板的是目前最先进的液晶显示器技术,从结构上看,液晶屏由两片线性偏光器和一层液晶所构成。其中,两片线性偏光器分别位于液晶显示器的内外层,每片只允许透过一个方向的光线,它们放置的方向成90度交叉(水平、垂直),也就是说,如果光线保持一个方向射入,必定只能通过某一片线性偏光器,而无法透过另一片,默认状态下,两片线性偏光器间会维持一定的电压差,滤光片上的薄膜晶体管就会变成一个个的小开关,液晶分子排列方向发生变化,不对射入的光线产生任何影响,液晶显示屏会保持黑色。一旦取消线性偏光器间的电压差,液晶分子会保持其初始状态,将射入光线扭转90度,顺利透过第二片线性偏光器,液晶屏幕就亮起来了。当然这是一个很简单的原理模型,真正的液晶显示器内还有更复杂的电路结构。 红绿蓝三原色大家都知道,当这三种颜色同时混合时就会产生白色,这当然实在三原色强度一样的情况下才能够显示器纯正的白色,这样,从图中我们可以看见液晶面板的每一个像素中都有三种原色,这三种原色如果强度不同变化就可以产生不同的混色效果,这样全屏就有1024×768这样的像素,所以真实分辨率就是1024×768。低端的液晶显示板,各个基色只能表现6位色,即2的6次方=64种颜色.可以很简单的得出,每个独立像素可以表现的最大颜色数是64×64×64=262144种颜色,高端液晶显示板利用FRC技术使得每个基色则可以表现8位色,即2的8次方=256种颜色,则像素能表现的最大颜色数为

256×256×256=16777216种颜色.这种显示板显示的画面色彩更丰富,层次感也好.现在基本上显示器都拥有FRC技术,可以显示器16777216种颜色 什么是TFT-LCD 其中彩色LCD又分为STN和TFT两种屏,其中TFT-LCD是英文Thin Film T ransistor-Liquid Crystal Display的缩写,即薄膜晶体管液晶显示器,也就是大家常说的真彩液晶显示屏,显示效果较好;而DSTN-LCD,即双扫瞄液晶显示器,则是STN-LCD的一种显示 液晶是一种介于液体和固体之间的特殊物质,它具有液体的流态性质和固体的光学性质。当液晶受到电压的影响时,就会改变它的物理性质而发生形变,此时通过它的光的折射角度就会发生变化,而产生色彩。 液晶屏幕后面有一个背光,这个光源先穿过第一层偏光板,再来到液晶体上,而当光线透过液晶体时,就会产生光线的色泽改变,从液晶体射出来的光线,还得必须经过一块彩色滤光片以及第二块偏光板。由于两块偏光板的偏振方向成90度,再加上电压的变化和一些其它的装置,液晶显示器就能显示我们想要的颜色了。 液晶显示有主动式和被动式两种,其实这两种的成像原理大同小异,只是背光源和偏光板的设计和方向有所不同。主动式液晶显示器又使用了fet场效晶体管以及共通电极,这样可以让液晶体在下一次的电压改变前一直保持电位状态。这样主动式液晶显示器就不会产生在被动式液晶显示器中常见的鬼影、或是画面延迟的残像等。现在最流行的主动式液晶屏幕是tft(thin film transistor薄膜晶体管),被动式液晶屏幕有stn(super tn超扭曲向列lcd)和dstn(double

液晶的电光特性实验报告含思考题

告 第1 页(共9页)课程:_______近代物理实验_______?实验日期:? 年月日 专业班号______组别_______?交报告日期:?年 月日 姓名__Bigger__学号__报告退发:(订正、重做) 同组者__ ________?教师审批签字: 实验名称:液晶的电光特性 一、实验目的 1)了解液晶的特性和基本工作原理; 2)掌握一些特性的常用测试方法; 3)了解液晶的应用和局限。 二、实验仪器 激光器,偏振片,液晶屏,光电转换器,光具座等。 三、实验原理 液晶分子的形状如同火柴一样,为棍状,长度在十几埃,直径为4~6埃,液晶 层厚度一般为5-8微米。排列方式和天然胆甾相液晶的主要区别是:扭曲向列 的扭曲角是人为可控的,且“螺距”与两个基片的间距和扭曲角有关。而天然胆甾 相液晶的螺距一般不足1um,不能人为控制。扭曲向列排列的液晶对入射光会有 一个重要的作用,他会使入射的线偏振光的偏振方向顺着分子的扭曲方向旋转,类 似于物质的旋光效应。在一般条件下旋转的角度(扭曲角)等于两基片之间的取向 夹角。 对于介电各向异性的液晶当垂直于螺旋轴的方向对胆甾相液晶施加一电场时,会发现随着电场的增大,螺距也同时增大,当电场达到某一阈值时,螺距趋于无 穷大,胆甾相在电场的作用下转变成了向列相。这也称为退螺旋效应。由于液晶分

子的结构特性,其极化率和电导率等都具有各向异性的特点,当大量液晶分子有规律的排列时,其总体的电学和光学特性,如介电常数、折射率也将呈现出各向异性的特点。如果我们对液晶物质施加电场,就可能改变分子排列的规律。从而使液晶材料的光学特性发生改变,1963年有人发现了这种现象。这就是液晶的的电光效应。 为了对液晶施加电场,我们在两个玻璃基片的内侧镀了一层透明电极。将这个由基片电极、取向膜、液晶和密封结构组成的结构叫做液晶盒。根据液晶分子的结构特点,假定液晶分子没有固定的电极,但可被外电场极化形成一种感生电极矩。这个感生电极矩也会有一个自己的方向,当这个方向以外电场的方向不同时,外电场就会使液晶分子发生转动,直到各种互相作用力达到平衡。液晶分子在外电场作用下的变化,也将引起液晶合中液晶分子的总体排列规律发生变化。当外电场足够强时,两电极之间的液晶分子将会变成如图1中的排列形式。这时,液晶分子对偏振光的旋光作用将会减弱或消失。通过检偏器,我们可以清晰地观察到偏振态的变化。大多数液晶器件都是这样工作的。 图1液晶分子的扭曲排列变化 若将液晶盒放在两片平行偏振片之间,其偏振方向与上表面液晶分子取向相同。不加电压时,入射光通过起偏器形成的线偏振光,经过液晶盒后偏振方向随液晶分子轴旋转90°,不能通过检偏器;施加电压后,透过检偏器的光强与施加在液晶盒上电压大小的关系见图2;其中纵坐标为透光强度,横坐标为外加电压。最大透光强度的10%所对应的外加电压值称为阈值电压(Uth),标志了液晶电光效应有可观察反应的开始(或称起辉),阈值电压小,是电光效应好的一个重要指标。最大透光强度的90%对应的外加电压值称为饱和电压(Ur),标志了获得最大对 小则易获得良好的显示效果,且降低显示功耗,对比度所需的外加电压数值,U r 显示寿命有利。对比度D r =I max/Imin,其中Imax为最大观察(接收)亮度(照度),I min为最小亮度。陡度β= U r/ U th即饱和电压与阈值电压之比。

相关文档
相关文档 最新文档