文档库 最新最全的文档下载
当前位置:文档库 › 数电课程设计报告(模板)

数电课程设计报告(模板)

数电课程设计报告(模板)
数电课程设计报告(模板)

统一领取(打印的A4纸)

除封面外,正文全部采用课程设计用纸,手写!

目录

本目录仅供参考!手写!

1.设计目的------------------------------2 2.设计要求------------------------------2 3.基本原理------------------------------3

3.1 原理概述------------------------------3

3.2 石英晶体振荡器------------------------4

3.3 石英晶体振荡器设计分频器--------------5

3.4 计数器--------------------------------7

3.4.1 60进制计数----------------------7

3.4.2 24进制计数----------------------9

3.5译码和显示电路--------------------10 3.6校时电路--------------------------13

3. 7整点报时电路---------------------- 4.调试过程------------------------------13 5.心得体会------------------------------17 6.器材----------------------------------19 7.附图----------------------------------19

一、设计目的

1、了解数字电子钟主体电路的组成及工作原理;

2、熟悉采用异步时序电路设计方法实现课题要求;

3、熟悉集成电路及有关电子元器件的使用,进行电路图的制作、测试、调

试;

4、完成整点报时电路设计,解决有关实际问题,锻炼综合应用能力。

二、设计要求

1、根据电子钟的方框图和指定器件,完成电子钟的主体电路及实验;

2、用CD4510芯片(四位十进制同步加/减计数器)采用异步时序电路的

方法,设计一个“秒”、“分”为60进制,“时”为24进制的时控电路,

要求当计时器运行到23时59分59秒时,秒个位计数器再接受一个秒脉

冲信号后,计数器自动显示为00时00分00秒,完成进制的计时要求,

用CD4511四位锁存/七段译码器/驱动器和七段LED共阴0.5数码管

实现时、分、秒的显示,用晶振32768HZ、电容、电阻、CD4013双D

触发器、CD4060十四位串行计数/振荡器和40106实现秒脉冲发生器;

3、具有校时、分、秒的功能,要求校“时”时“分”、“秒”不受影响,校

“分”时“秒”不受影响,并且校对时、分、秒各位时,各位数字可以

自动变化,直到校对停止;

4、设计一个电路,当计时器每逢运行到整点时,皆要发出整点报时鸣叫声;

报时信号模拟上海人民广播电台的报时频率,开始鸣第一响,整点是鸣

最后一响,共鸣叫六响;前五响为低音(750Hz),后一响为高音(1000Hz),每次鸣叫历时0.5秒;

5、进行实验电路图的制作,并测试、调试出课题所要求的计时器;

6、画出逻辑电路图、时序图,并写出设计报告。

三、基本原理 3.1原理概述

数字电子钟一般由振荡器、分频器、计数器、译码器、显示器,报时器等几部分组成,各部分电路都是数字电路中应用最广的基本电路。英晶体振荡产生的时标信号送到分频器,分频电路将时标信号分成每秒一次的方波作为信号。秒信号送入计数器进行计数,并将累计结果以“时”、“分”、“秒”的数字显示出来。秒”显示由两级计数器和译码器组成的十进制电路实现。“分”显示电路与“秒”相同;“时”显示电路由两级计数器和译码器组成的二十四进制计数器电路来实现。所有计时结果由六位LED 七段显示器显示。当计时器运行到59分55秒时,控制电路每秒发出一个信号F1,连发5个信号去控制鸣叫电路,使喇叭连续鸣叫五声低音;当计时器运行到整点时,要求发出另一个信号F2去控制鸣叫电路,使喇叭鸣叫一声高音。

图1-1 完整电路框图

4.2 石英晶体振荡器

石英晶体振荡器的作用是产生一个标准频率信号,然后再由分频器分成

译码驱动 译码驱动

译码驱动

译码驱动

译码驱动

译码

驱动

JS 6时十位

JS 5时个位

JS 4分十位

JS 3分个位

JS 2秒十位

JS 1秒个位

校时控制

晶振

分频器

整点报时 电 路

时间秒脉冲因此振荡器的精度与稳定度,决定了计时器的精度和质量。

32768HZ

图1-2晶体振荡电路

振荡电路由石英晶体、微调电容、反相器构成,如图1-2所示。图中R5为反馈电阻(10~100MΩ),目的是为CMOS反相器提供偏置,使其工作在放大状态(而不是作反相器用)。C2是频率微调电容取3/25PF,C1是温度特性校正用电容,一般取20~50PF。非门U22:B起整行作用。晶体振荡器件目前多数采用石英电子手表用晶振32768HZ,32768是2的15次方,经过15级二分频即可得到1HZ(信号)。

从时钟精度考虑,晶振频率愈高,计时精度就愈高,但耗电将增大。

4.3石英晶体振荡器设计分频器

采用32768HZ晶振,用n位二进制计数器进行分频,可得1/2N频率信号,要得到1秒信号,则n=15。

根据以上分析,可用CD4060十四位串行计数器/振荡器来实现分频和振荡。如图1-3所示,但由于CD4060只能实现14级分频,少了一级分频,所以必须外加一级分频,可用CD4013双D触发器来实现。

CD4060的引脚图如下:

图1—3 CD4060引脚图

CD4060由一振荡器和14级二进制串行计数器位组成,振荡器的结构可以是RC或晶振电路。CR为高电平时,计数器清零且振荡器使用无效,所有的计数器位均为主从触发器。在CP1非(和CP0)的下降沿计数器以二进制计数,在时钟脉冲线上使用施密特触发器对时钟上升和下降时间无限制。

CD4060提供了16引线多层陶瓷双列直插(D)、熔封陶瓷双列直插(J)、塑料双列直插(P)和陶瓷片状载体(C)4种封装行式。

CD4060的真值表:

表格 1

输入

功能

CP1的非CR

X H 清除

L 计数

L 保持

CD4013的引脚图如下:

图1—4 CD4013引脚图

CD4013由两个相同的、相互独立的数据型触发器构成。每个触发器有独立的数据、位置、复位、时钟输入和Q及Q非输出。此器件可用作移位寄存器,且通过将Q非输出连接到数据输入,可用作计数器和触发器。在时钟上升沿触发时,加在D输入端的逻辑电平传送到Q输出端。置位和复位

与时钟无关,而分别由置位或复位线上的高电平完成。

CD4013提供了14引线多层陶瓷双列直插(D )、熔封陶瓷双列直插(J )、塑料双列直插(P )和陶瓷片状载体(C )4种封装行式。 CD4013的真值表

表格 2

输 入 输 出 CP

D R S Q Q 的非

L L L L H

H L

L H L

X L L 保持 保持 X X H

L L H X X L H H L X

X

H H

H

H

X1

32768HZ

R5

10M

R S

11

M R

12

Q 3

7

Q 45Q 54Q 66Q 714Q 813Q 915Q 111Q 122Q 133C T C

9

R T C

10

U19

4060

D

5

Q 1

CLK

3Q

2

R

4

S

6

U20:A

4013

1

2

U22:A

40106

3

4

U22:B

40106

C1

47p

C2

0.12p

图1-5 秒脉冲信号发生器

4.4 计数器

来自分频器的秒信号经过6级计数器,分别送到秒、分、时的十位和个

1HZ 信号

2HZ 信号

位。秒、分计数器为60进制,小时计数器为24进制。这种计数器的设计可采用异步反馈置零法,先按二进制计数级联起来构成计数器,当计数器状态达到所需的摸值后,经门电路译码、反馈,产生“复位”脉冲将计数器清零,然后重新开始进行下一循环。

4.4.1 60进制计数

秒计数器由秒个位计数器JS1和秒十位计数器JS2组成。JS1组成十进制计数,JS2组成六进制计数。

十进制计数用反馈归零法设计,这里采用CD4510(四位十进制计数器)来设计。

CD4510的引脚图如下:

图1—6 CD4510引脚图

CD4510为可预置BCD可逆计数器,该器件主要由四位具有同步时钟的D型触发器(具有选通结构,提供T型触发器功能)构成。具有可预置数、加减计数器和多片级联使用等功能。

CD4510具有复位CR,置数控制LD,并行数据D0~D3,加减控制U/D 非,时钟CP和进位CI非等输入。CR为高电平时,计数器清零。当LD为高电平时,D0~D3上的数据置入计数器中,CI非控制计数器的计数操作,CI 非=0时,允许计数。此时,若U/D非为高电平,在CP时钟上升沿计数器加1计数;反之,在CP时钟上升沿减1计数。除了四个Q输出外,还有一个进位/错位输出CO非/BO非。

CD4510提供了16引线多层陶瓷双列直插(D)、熔封陶瓷双列直插(J)、塑料双列直插(P)和陶瓷片状载体(C)4种封装行式。

表格 3 CD4510功能表

输入输出

CP CI非U/D非LD CR D0 D1 D2 D3 Q0 Q1 Q2 Q3

X X X H L D0 D1 D2 D3 D0 D1 D2 D3 X X X X H X X X X L

L

L L

X H X L L X X X X 保持

L H L L X X X X 加计数

L

L

L

L

X

X

X

X

减计数

六进制计数的反馈方法是当CP 输入第六个脉冲时,输出状态“Q 4Q 3Q 2Q 1=0110”,用与门将Q3Q2取出,送到计数器CR 清零端,使计数器归零,从而实现六进制计数。如图1—7采用CD4510设计的60进制计数器,可作为秒、分计数器用。在图1—7中,“个位”向“十位”的进位通过“非门”进行,因为“个位”的进位输出端一开始为低电平,经非门后变为高电平送到“十位”的CP 端,当计数器经过10次状态变化后,进位输出端CO 输出高电平,经非门后变为低电平送到“十位”的CP 端,此时,CP 端接收的是一个下降沿脉冲,“十位”的计数器不会发生变化,而当“个位”的计数器又回到起始状态时,进位输出端又变为低电平,“十位”的CP 端接收到的是高电平,由于是上升沿脉冲触发,所以“十位”计数器此时会发生变化,即计数。

图1-7 采用CD4510组成的60进制计数器

A 14Q 16A 212Q 211A 313Q 314A 4

3

Q 4

2

C L K 15C I 5C O

7

U /D 10P E 1M R

9

U7

4510

A 14Q 16

A 212Q 211A 313Q 314A 4

3

Q 4

2

C L K 15C I 5C O

7

U /D 10P E 1M R

9

U8

4510

1

2U1:A

74HC04

4

5

6

U10:B

74HC08

接秒位传来的脉冲信号

接译码器输入端

接译码器输入端

4.4.2 24进制计数

当个位计数状态为“Q 4Q 3Q 2Q 1=0100”,十位计数状态为“Q 4Q 3Q 2Q 1=0010”时,通过把个位Q3、十位Q2相与后的信号送到个位、十位清零端MR ,使计数器复零,从而实现24进制计数。当然,也可以采用进位输出端CO 接一个非门(74HC04)来实现,如图1-8所示。

图1-8 采用CD4510组成的24进制计数器

4.5 译码和显示电路

译码是把给定的代码进行翻译,变成相应的状态,用来驱动LED 七段码的译码器常用的有CD4511中规模集成电路,它具有四位输入码BCD 码(带锁存)输出七段码(带驱动)的功能。

CD4511的引脚见下:

A 14Q 16A 212Q 211A 313Q 314A 4

3

Q 4

2

C L K 15

C I 5C O

7

U /D 10P E 1M R

9

U14

4510

A 14Q 16A 212Q 211A 313Q 314A 4

3

Q 4

2

C L K 15C I 5C O

7

U /D 10P E 1M R

9

U15

4510

5

6

U1:C

74HC04

4

5

6

U2:B

74LS08

分位送来的脉冲信号

接译码器输入端

接译码器输入端

A 7

B 1

C 2

D 6LT 3BI 4LE/STB 5

QA 13QB 12QC 11QD 10QE 9QF 15QG

14

U1

4511

图1-9 CD4511引脚图

其功能介绍如下:

BI :4脚是消隐输入控制端,当BI=0 时,不管其它输入端状态如何,七段数码管均处于熄灭(消隐)状态,不显示数字。

LT :3脚是测试输入端,当BI=1,LT=0 时,译码输出全为1,不管输入 DCBA 状态如何,七段均发亮,显示“8”。它主要用来检测数码管是否损坏。 LE :锁定控制端,当LE=0时,允许译码输出。 LE=1时译码器是锁定保持状态,译码器输出被保持在LE=0时的数值。 A 、B 、C 、D 为8421BCD 码输入端。

QA 、QB 、QC 、QD 、QE 、QF 、QG 为译码输出端,输出为高电平1有效。 CD4511的内部有上拉电阻,在输入端与数码管笔段端接上限流电阻就可工作。CD4511的真值表如下:

表1-4为利用CD4511译码构成的一位LED 七段码显示电路

输 入 输 出 LE BI LI D C B A a b c d e f g 显示 X X 0 X X X X 1 1 1 1 1 1 1 8 X 0 1 X X X X 0 0 0 0 0 0 0 消隐 0 1 1 0 0 0 0 1 1 1 1 1 1 0 0 0 1 1 0 0 0 1 0 1 1 0 0 0 0 1 0 1

1 0 0 1

0 1 1

0 1 1 0

1 2

0 1 1 0 0 1 1 1 1 1 1 0 0 1 3 0 1 1 0 1 0 0 0 1 1 0 0 1 1 4 0 1 1 0 1 0 1 1 0 1 1 0 1 1 5 0 1 1 0 1 1 0 0 0 1 1 1 1 1 6 0 1 1 0 1 1 1 1 1 1 0 0 0 0 7 0 1 1 1 0 0 0 1 1 1 1 1 1 1 8 0 1 1 1 0 0 1 1 1 1 0 0 1 1 9 0 1 1 1 0 1 0 0 0 0 0 0 0 0 消隐 0 1 1 1 0 1 1 0 0 0 0 0 0 0 消隐 0 1 1 1 1 0 0 0 0 0 0 0 0 0 消隐 0 1 1 1 1 0 1 0 0 0 0 0 0 0 消隐 0 1 1 1 1 1 0 0 0 0 0 0 0

0 消隐 0 1 1 1 1 1 1 0 0 0 0 0 0

消隐

1 1 1 X X X X 锁 存

CD4511和七段LED 共阴0.5数码管的连接图如下所示:

A 7

B 1

C 2D

6

L T 3B I 4L E /S T B

5

Q A 13Q B 12Q C 11Q D 10Q E 9Q F 15Q G

14

U6

4511

图1—10 一位显示电路(一)

注意上图和下图的区别,下图在译码器输出端和数码管输入端接了电阻,这里的电阻有限流作用,防止电流过大,烧毁数码管。

接收来自计数器的输出信号

A 7

B 1

C 2D

6

L T 3B I 4L E /S T B

5

Q A 13Q B 12Q C 11Q D 10Q E 9Q F 15Q G

14

U6

4511

R147k

R247k

R347k

R447k

R5

47k

R647k

R7

47k

图1—11 一位显示电路(二)

4.6校时电路

刚接通电源时,时钟都需要进行时间校准。图1-12所示的校时电路由CMOS 电路和三只开关(SW1~SW3)组成,分别实现对时、分、秒饿校准。开关选择有“正常”和“校时”两档。

校“时”、“分”的原理比较简单,当开关打在“校时”状态,秒脉冲时进入个位计数器,实现校对功能。

校“秒”时,送入2HZ (0.5秒)信号,可方便快速校对。图中与非门电路

可采用CD4011实现。

至秒个位CP

至时个位CP 至分个位CP

接收来自计数器的输出信号

1

2

3

U17:A

4011

5

6

4

U17:B

4011

8

9

10

U17:C

4011

12

13

11

U17:D

4011

12

3

U18:A

4011

R1

47k

R2

47k

R3

47k

R4

47k

SW1

SW-SPD T

SW2

SW-SPD T

SW3

SW-SPD T

正常 校时 校分 校秒

图1—12 校“时”、“分”、“秒”电路

4.7整点报时电路

当时钟每逢运行到整点时,皆要发出整点报时鸣叫声。图1-13所示的报时

电路由一个识别电路和一个鸣叫电路组成。

识别电路能够识别59分55秒和整点时间,当计时器59分55秒时,输出一

个高电平,当计时器整点时,输出信号变为低电平,从而辨别出55秒-59秒和整点。

鸣叫电路可由两个振荡器分别产生750HZ 和1000HZ 信号,由F1、F2控制切

换,推动蜂鸣器工作。

鸣叫电路 识别电路

2HZ

1

2

3

U17:A

4011

5

6

4

U17:B

4011

8

9

10

U17:C

4011

12

13

11

R147k

R2

47k

R3

47k

R4

47k

SW1SW-SPD T

SW2

SW-SPD T

SW3

SW-SPD T

1HZ

59分55秒

1HZ

图1--13 整点报时电路

五、调试过程

根据秒脉冲发生器、“秒”、“分”、“时”各单元电路的特点,采用分步调试。1.调试秒脉冲发生器

该部分电路主要由N16、N17及C1、C2、Z、R5组成。用示波器及频率计测量C点,应为2HZ的方波;测量D点应为1HZ的方波;测量CP点应为1HZ的方波(注意:S3开关应打向左边正常状态,否则CP点为2HZ的方波)。

X132768HZ

R5

10M R S

11

M R 12

Q 37Q 45Q 54Q 66Q 714Q 813Q 915Q 111Q 122Q 133C T C

9

R T C

10

U19

4060

D

5

Q 1

CLK

3

Q

2

R

4

S

6

U20:A

4013

1

2

U22:A 40106

3

4

U22:B

40106

C1

47p

C2

0.12p

RST

CLK CE RST

CLK CE

图1—13 秒脉冲发生器调试电路

2.调试“秒”计数单元

该部分电路主要由N1、N2、N7、N8组成,检查N1的CLK 脚,应有1HZ 的方波时钟信号输入,秒显示器应有正常显示(00~59循环),如图1—14所示。

3.调试“分”计数单元

该部分电路主要由N3、N4、N9、N10组成,将A 点断开,在N3的CLK 脚直接引入CP1HZ 信号,分显示器应有正常显示(00~59循环),图和调试秒计数单元的电路图相同,只是分个位接收来自秒十位传来的脉冲信号。

4.调试“时”计数单元

该部分电路主要由N5、N6、N11、N12组成,将B 点断开,在N5的CLK 脚直接引入CP1HZ 信号,时显示器应有正常显示(00~23循环),电路图如图1—15所示。

2HZ 信号

1HZ 信号

A 7

B 1

C 2D

6

L T 3B I 4L E /S T B

5

Q A 13Q B 12Q C 11Q D 10Q E 9Q F 15Q G

14

U5

4511

A 7

B 1

C 2D

6

L T 3B I 4L E /S T B

5

Q A 13Q B 12Q C 11Q D 10Q E 9Q F 15Q G

14

U6

4511

A 14Q 16A 212Q 211A 313Q 314A 4

3

Q 4

2

C L K 15C I 5C O

7

U /D 10P E 1M R

9

U7

4510

A 14Q 16A 212Q 211A 313Q 314A 4

3

Q 4

2

C L K 15C I 5C O

7

U /D 10P E 1M R

9

U8

4510

1

2U1:A

74HC04

4

5

6

U10:B

74HC08

图1—14 调试秒计数单元

5.校时调试

以上各部分调试正常后,进行校时调试,S1、S2、S3为校时开关,分别校对“时”、“分”、“秒”,开关打向左边为正常状态,右边为校时状态。这部分电路主要由N15、S1、S2、S3、R49~R52组成。校时顺序遵循先校“时”,再校“分”,最后校“秒”的次序。

1HZ 信号

A 7

B 1

C 2D

6

L T 3B I 4L E /S T B

5

Q A 13Q B 12Q C 11Q D 10Q E 9Q F 15Q G

14

U12

4511

A 7

B 1

C 2D

6L T 3B I 4L E /S T B

5

Q A 13Q B 12Q C 11Q D 10Q E 9Q F 15Q G

14

U13

4511

A 14Q 16A 212Q 211A 313Q 314A 4

3

Q 4

2

C L K 15

C I 5C O

7

U /D 10P E 1M R

9

U14

4510

A 14Q 16A 212Q 211A 313Q 314A 4

3

Q 4

2

C L K 15C I 5C O

7

U /D 10P E 1M R

9

U15

4510

5

6

U1:C

74HC04

4

5

6

U2:B

74LS08

图1—15 调试时计数单元电路

校时电路的电路图如图1—16所示。

注意图中控制“分”和“时”校对的信号要分别与“秒”和“分”十位的控制进位的信号相与后在接到“分”和“时”的脉冲信号输入端,这样可以避免校对和进位之间的相互影响。例如,以“分”的校对为例,当开关拨至校对端时有1HZ 的信号通过和秒十位的进位控制信号相与后输入到“分”个位脉冲输入端,此时,就相当于有1HZ 的脉冲信号直接输入到“分”个位的脉冲输入端(CP 端),“分”个位开始计数,从而实现对“分”的校对,而当开关拨回至正常端时,校时电路输出到“分”的脉冲信号恒为高电平,此时,“分”个位只受“秒”十位的计数控制信号控制,即进行正常的计数。同理,“时”的校对也是如此。

接收来自分十位传来的脉冲信号

至于“秒”的校对则比较简单,因为它不需要考虑校对和进位的相互影响,当开关打在正常档时,经过电路中的与非门只有1HZ 的信号输入到“秒”的个位脉冲输入端,实现正常的计时功能;当开关拨至校对档时,1HZ 的信号和2HZ 的信号经过电路中的与非门相与变为2HZ 的信号传输到“秒”的个位脉冲输入端,从而实现对“秒”的校对。

1

2

3

U17:A

4011

5

6

4

U17:B

4011

8

9

10

U17:C

4011

12

13

11

U17:D

4011

1

2

3

U18:A

4011

R1

47k

R2

47k R3

47k

R4

47k

SW1

SW-SPD T

SW2

SW-SPD T

SW3

SW-SPD T

1

23

U16:A

74HC08

1

2

3

U21:A

74HC08

图1—16 校时电路

6.整点报时调试

如图1--17中,将X1接1HZ 秒脉冲信号,JS1接分计数器,十位Q 4Q 3Q 2Q 1为0101,个位1010,即59分;JS2接秒计数器,十位接Q 4Q 3Q 2Q 1为0101,个位也为0101,即55秒。

2HZ 信号

接1HZ 信号

输出到秒个位CP 端

秒十位进位控制信号

分十位进位控制信号

接时个位脉冲输入端

接分

个位脉冲输入端

校时电路调试完成后,将分校至59分,待秒计数自55秒到59秒时发出五

声短响,当计数过55分59秒进位时,发出一声长鸣,说明整点报时成功。

JS1 JS2

X1

图1--17 整点报时电路调试图

当心!当心!

六、心得体会(不要写成一样了喔,亲!)

通过这次的课程设计,使我对数字电路的一些基本知识有了进一步的了解,虽然只是设计一个数字电子计时器,只用了数字电路中的极少的一部分

芯片,但是对数字电路的设计方法有了一个宏观的认识,知道了拿到一个问

题该如何去思考解决的办法,并且可以对自己提出的不同方案进行比较、对

比,分析各自的优缺点,从而找出解决问题的最佳方案。

在这次的课程设计中,我也对部分的芯片(CD4510、CD4511、CD4013、CD4060等等)有了一定的了解,这都是数字电路课上没介绍过的,了解的

越多,就越感到数字电路的作用之大,现在的科学技术离不开它,我们日常

生活中使用的电子产品更是建立在它的基础之上,数字电路的优越性使我对

它产生了浓厚的兴趣,作为计算机科学与技术专业的学生,我应该更多的去

学习、了解这方面的知识,要跟上时代的步伐。

数电自动打铃器课程设计

数字电子技术课程设计题目自动打铃器 姓名:___ XXXXXX ___ 所在学院:工学院 所学专业:_ 电气工程及其自动化 班级___ 电气工程XXXX 学号___ XXXXXXXXXXXXX 指导教师:_____ XXXXXX_ ___ 完成时间:____ 2XXXXXXXXX

数电课程设计任务书 一、基本情况 学时:40学时学分:1学分适应班级: 二、进度安排 本设计共安排1周,合计40学时,具体分配如下: 实习动员及准备工作:2学时 总体方案设计:4学时 查阅资料,讨论设计:24学时 撰写设计报告:8学时 总结:2学时 教师辅导:随时 三、基本要求 1、课程设计的基本要求 数字电子技术课程设计是在学习完数字电子课程之后,按照课程教学要求,对学生进行综合性训练的一个实践教学环节。主要是培养学生综合运用理论知识的能力,分析问题和解决问题的能力,以及根据实际要求进行独立设计的能力。初步掌握数字电子线路的安装、布线、焊接、调试等基本技能;熟练掌握电子电路基本元器件的使用方法,训练、提高读图能力;掌握组装调试方法。其中理论设计包括总体方案选择,具体电路设计,选择元器件及计算参数等,课程设计的最后要求是写出设计总结报告,把设计内容进行全面的总结,若有实践条件,把实践内容上升到理论高度。 2、课程设计的教学要求 数字电子技术课程设计的教学采用相对集中的方式进行,以班为单位全班学生集中到设计室进行。做到实训教学课堂化,严格考勤制度,在实训期间累计旷课达到6节以上,或者迟到、早退累计达到8次以上的学生,该课程考核按不及格处理。在实训期间需要外出查找资料,必须在指定的时间内方可外出。 课程设计的任务相对分散,每3名学生组成一个小组,完成一个课题的设计。小组成员既有分工、又要协作,同一小组的成员之间可以相互探讨、协商,可以互相借鉴或参考别人的设计方法和经验。但每个学生必须单独完成设计任务,要有完整的设计资料,独立撰写设计报告,设计报告雷同率超过50%的课程设计考核按不及格处理。

数字电子钟课程设计实验报告

中北大学 信息与通信工程学院 通信工程专业 《电子线路及系统》课程设计任务书2016/2017 学年第一学期 学生姓名:张涛学号: 李子鹏学号: 课程设计题目:数字电子钟的设计 起迄日期:2017年1月4日~2017年7月10日 课程设计地点:科学楼 指导教师:姚爱琴 2017年月日 课程设计任务书

中北大学 信息与通信工程学院 通信工程专业 《电子线路及系统》课程设计开题报告2016/2017 学年第一学期 题目:数字电子钟的设计 学生姓名:张涛学号: 李子鹏学号:

指导教师:姚爱琴 2017 年 1 月 6 日 中北大学 信息与通信工程学院 通信工程专业 《电子线路及系统》课程设计说明书2016/2017 学年第二学期 题目:数字电子钟的设计 学生姓名:张涛学号: 李子鹏学号: 指导教师:姚爱琴 2017 年月日

目录 1 引言 (6) 2 数字电子钟设计方案 (6) 2.1 数字计时器的设计思想 (6) 2.2数字电路设计及元器件参数选择 (6) 2.2.2 时、分、秒计数器 (7) 2.2.3 计数显示电路 (8) 2.2.5 整点报时电路 (10) 2.2.6 总体电路 (10) 2.3 安装与调试 (11) 2.3.1 数字电子钟PCB图 (11) 3 设计单元原理说明 (11) 3.1 555定时器原理 (12) 3.2 计数器原理 (12) 3.3 译码和数码显示电路原理 (12) 3.4 校时电路原理 (12) 4 心得与体会 (12) 1 引言 数字钟是一种用数字电子技术实现时,分,秒计时的装置,具有较高的准确性和直观性等各方面的优势,而得到广泛的应用。此次设计数字电子钟是为了了解数字钟的原理,在设计数字电子钟的过程中,用数字电子技术的理论和制作实践相结合,进一步加深数字电子技术课程知识的理解和应用,同时学会使用Multisim电子设计软件。 2数字电子钟设计方案 2.1 数字计时器的设计思想 要想构成数字钟,首先应选择一个脉冲源——能自动地产生稳定的标准时间脉冲信号。而脉冲源产生的脉冲信号地频率较高,因此,需要进行分频,使得高频脉冲信号变成适合于计时的低频脉冲信号,即“秒脉冲信号”(频率为1Hz)。经过分频器输出的秒脉冲信号到计数器中进行计数。由于计时的规律是:60秒=1分,60分=1小时,24小时=1天,就需要分别设计60进制,24进制计数器,并发出驱动信号。各计数器输出信号经译码器、驱动器到数字显示器,是“时”、“分”、“秒”得以数字显示出来。 值得注意的是:任何记时装置都有误差,因此应考虑校准时间电路。校时电路一般

模电课程设计报告

模电课程设计实验报告课题:函数信号发生器 指导老师:________________ 学院:___________________ 班级:___________________ 姓名:___________________ 学号:___________________

日期:__________________ 一.设计目的与要求 1.1设计目的 1.设计电路产生RC桥式正弦波产生电路,占空比可调的矩形波电路,占空比可调的三角波电路,多用信号源产生电路,分别产生正弦波、方波、三角波 2.通过设计,可以将所学的电子技术应用到实际当中,加深对信号产生电路的理解,锻炼自己的动手能力与查阅资料的能力。使自己的对模电的理解更为透彻。 1.2设计内容及要求 1)RC桥式正弦波产生电路,频率分别为300Hz、1KHz、10KHz、500KHz,输出幅值300mV~5V可调、负载1KΩ。 (2)占空比可调的矩形波电路,频率3KHz,占空比可调范围10%~90%,输出幅值3V、负载1KΩ。

(3)占空比可调的三角波电路,频率1KHz,占空比可调范围10%~90%,输出幅值3V、负载1KΩ。 (4)多用信号源产生电路,分别产生正弦波、方波、三角波,频率范围100Hz~3KHz、输出幅值≥5V、负载电阻1KΩ。 软件仿真部分元器件不限,只要元器件库中有即可,但需要注意合理选取。 二.单信号发生电路 2、1 RC桥式正弦波产生电路 参数计算:

器件选择: 2、2占空比可调的矩形波产生电路 参数计算: 器件选择:

2、3占空比可调的三角波产生电路 参数计算: 器件选择:

数电课设报告1

通过20进制计数器的输出端的E、D信号控制移位寄存器的S0和S1及其CLR'端真值表

七、附录 555的内部结构 555定时器电路是一块介于模与数字电路的一种混合电路,由于这种特殊的地位,故5 55定时电路在报警电路、控制电路得到了广泛的应用。下图为555的内部电路,从图上可以看出,其仅有两个比较器、一个触发器、一个倒相器、放电管和几个电阻构成,由于比较器电路是一个模拟器,而触发器电路为数字电路,故其为混合器件。 555为一8脚封装的器件,其各引脚的名称和作用如下: 1脚—GND,接地脚 2脚—TL,低电平触发端 3脚—Q,电路的输出端 4脚—/R D,复位端,低电平有效 5脚—V_C,电压控制端 6脚—TH,阈值输入端 7脚—DIS,放电端 8脚—V CC,电源电压端,其电压范围为:3~18V 555的功能描述

上图中当V_C不外接电压时,三个电阻对电源电压进行分压,每个电阻上的压降为1/3 V CC,则两个比较器的同相端的输出电压分别为:1/3CC,2/3V CC。从图上可以看出,其555的工作可分为下列3种情况加以讨论: 1.当触发输入端TL输入电压低于1/3V CC而阈值输入端电压大于2/3V CC时,其下面比较器输出为高电平,触发器输出高电平; 2.当触发输入端TL输入电压高于1/3V CC,而阈值输入端电压小于2/3V CC时,其两个比较器输出皆为低电平,触发器输出保持不变; 3.当触发输入端TL输入电压高于1/3V CC而阈值输入端电压大于2/3V CC时,其上面比较器输出为高电平,触发器输出低电平。 当然你在上面讨论时可同时对放电管进行讨论其状态,这里没有讨论,详情可能见有关资料,从上面的讨论,可列出下列表格: 输入输出 TH TL/RD Q放电管状态 ××00导通>2/3V CC>1/3V CC10导通 <2/3V CC>1/3V CC1保持不变保持不变 >2/3V CC>1/3V CC10导通 <2/3V CC<1/3V CC11截止 一、芯片名称:同步可预置带清零二进制计数器 二、74LS163芯片的引脚图和引脚说明:

数字电子技术课程设计报告

一、设计任务及要求 通过对《数字电子技术》课程的学习,让同学掌握《数字电子技术》课程的基本理论以及方法,加深学生对理论知识的理解,同时积极有效的提高了学生的动手能力,独立思考和解决问题的能力,创新思维能力、协调能力,以及团结合作、互帮互助的优良传统。为了充分体现这些精神和能力,所以让同学独立自主的制造一个数字时钟,故,对同学设计的数字时钟进行如下要求: 时钟显示功能,能够以十进制显示“时”,“分”,“秒”。 二、设计的作用、目的 (1).在同学掌握《数字电子技术》课程的基本理论以及方法的基础上,加深学生对理论知识的理解,同时积极有效的提高了学生的动

手能力,独立思考和解决问题的能力,创新思维能力、协调能力,以及团结合作、互帮互助的优良传统。 (2).掌握组合逻辑电路、时序逻辑电路及数字逻辑电路系统的设计、安装、测试方法;进一步巩固所学的理论知识,提高运用所学知识分析和解决实际问题的能力。 (3). 熟悉集成电路的引脚安排,掌握各芯片的逻辑功能及使用方法了解面包板结构及其接线方法,了解数字钟的组成及工作原理,熟悉数字钟的设计与制作。 (4). 掌握数字钟的设计、调试方法。 三、设计过程 1.方案设计与论证 数字钟的逻辑结构主要包括有六十进制计数器、二十四进制计数器(其中包括六十进制计数器和二十四进制计数器均由十进制计数器74LS160接成)、动态显示译码器、LED数码管显示环节、555定时器(可以提供一个比较精确的1Hz的时钟脉冲),时间设置环节可以提供时间的初始设置,动态显示译码器提供将BCD代码(即8421码)译成数码显示管所需要的驱动信号,使LED数码管用十进制数字显示出BCD代码所表示的数值。 数字钟电路系统的组成框图:

数电课程设计-温度计实验报告(提交版)

一、设计项目名称 温度采集显示系统硬件与软件设计 二、设计内容及要求 1,根据设计要求,完成对单路温度进行测量,并用数码管显示当前温度值系统硬件设计,并用电子CAD软件绘制出原理图,编辑、绘制出PCB印制版。 要求: (1)原理图中元件电气图形符号符合国家标准; (2)整体布局合理,注标规范、明确、美观,不产生歧义。 (3)列出完整的元件清单(标号、型号及大小、封装形式、数量) (4) 图纸幅面为A4。 (4)布局、布线规范合理,满足电磁兼容性要求。 (5)在元件面的丝印层上,给出标号、型号或大小。所有注释信息(包括标号、型号及说明性文字)要规范、明确,不产生歧义。 2.编写并调试驱动程序。 功能要求: (1)温度范围0-100℃。 (2)温度分辨率±1℃。 (3)选择合适的温度传感器。 3.撰写设计报告。 提示:可借助“单片机实验电路板”实现或验证软件、硬件系统的可靠性。 温度传感器 摘要:温度的检测与控制是工业生产过程中比较典型的应用之一,随着传感器在生产和生活中的更加广泛的应用,利用新型单总线式数字温度传感器 实现对温度的测试与控制得到更快的开发,随着时代的进步和发展,单 片机技术已经普及到我们生活,工作,科研,各个领域。一种数字式温 度计以数字温度传感器DS18B20作感温元件,它以单总线的连接方式, 使电路大大的简化。传统的温度检测大多以热敏电阻为传感器,这类传 感器可靠性差,测量温度准确率低且电路复杂。因此,本温度计摆脱了 传统的温度测量方法,利用单片机STC89C52对传感器进行控制。这样

易于智能化控制。 关键词:数字测温;温度传感器DS18B20;单片机STC89C52; 一.概述 传感器从功能上可分为雷达传感器、电阻式传感器、电阻应变式传感器、压阻式传感器、热电阻传感器、温度传感器、光敏传感器、湿度传感器、生物传感器、位移传感器、压力传感器、超声波测距离传感器等,本文所研究的是温度传感器。 温度传感器是最早开发,应用最广泛的一类传感器。温度传感器是利用物质各种物理性质随温度变化的规律把温度转换为电量的传感器。这些呈现规律性变化的物理性质主要有半导体。温度传感器是温度测量仪表的核心部分,品种繁多。 随着科学技术的发展,测温系统已经被广泛应用于社会生产、生活的各个领域,在工业、环境监测、医疗、家庭多方面均有应用。从而使得现代温度传感器的发展。微型化、集成化、数字化正成为发展的一个重要方向。 二.硬件设计 1.DS18B20 DS1820 单线数字温度计特性 ? 独特的单线接口仅需一个端口引脚进行通讯 ? 简单的多点分布应用 ? 无需外部器件 ? 可通过数据线供电 ? 零待机功耗 ? 测温范围-55~+125℃,以 0.5℃递增 ? 温度以 9 位数字量读出 ? 温度数字量转换时间 200ms (典型值) ? 用户可定义的非易失性温度报警设置 ? 报警搜索命令识别并标志超过程序限定温度(温度报警条件)的器件 ? 应用包括温度控制、工业系统、消费品、温度计或任何热感测系统 DS1820温度传感器外观图(a )和引脚图(b ) ①引脚1接地 ②引脚2数字信号输入/输出 ③引脚3接高电平5V 高电平

数电实验-实验报告-实验六

实验一 TTL与非门的参数测试 一、实验目的 ·掌握用基本逻辑门电路进行组合逻辑电路的设计方法。 ·通过实验,验证设计的正确性。 二、实验原理 1.组合逻辑电路的分析: 所谓组合逻辑电路分析,即通过分析电路,说明电路的逻辑。 通常采用的分析方法是从电路的输入到输出,根据逻辑符号的功能逐级列出逻辑函数表达式,最好得到表示输出与输入之间的关系的逻辑函数式。然后利用卡诺图或公式化简法将得到的函数化简或变换,是逻辑关系简单明了。为了使电路的逻辑功能更加直观,有时还可以把逻辑函数式转化为真值表的形式。 2.逻辑组合电路的设计: 根据给出的实际逻辑问题,求出实现这一逻辑功能的最简单电路,陈伟组合逻辑电路的设计。 3.SSI设计:设计步骤如下: ①逻辑抽象;分析时间的因果关系,确定输入和输出变量。 ②定义逻辑状态的含义:以二值逻辑0、1表示两种状态。 ③列出真值表 ④写出逻辑表达式,并进行化简,根据选定器件进行转换。 ⑤画出逻辑电路的连接图。 ⑥实验仿真,结果验证。 三、实验仪器及器件 数字万用表1台

多功能电路实验箱1台 四、实验内容 1.设计5421BCD 码转换为8421BCD 码(用双输入端与非门实现)。 四位自然二进制码 5421BCD码 B3 B2 B1 B0 D3 D2 D1 D0 0 0 0 0 0 0 0 0 0 0 0 1 0 0 0 1 0 0 1 0 0 0 1 0 0 0 1 1 0 0 1 1 0 1 0 0 0 1 0 0 0 1 0 1 0 1 0 1 0 1 1 0 0 1 1 0 0 1 1 1 0 1 1 1 1 0 0 0 1 0 1 1 1 0 0 1 1 1 1 0 1 0 伪码 1 0 1 1 1 1 0 0 1 1 0 1 1 1 1 0 1 1 1 1 根据5421BCD 码与8421BCD 码真值表可得 2.设A 、B 、C 、D 代表四位二进制变量,函数X=8A-4B+2C+D ,试设计一个组合逻辑电路,判断当函数值介于4

数电脉搏计数器电路课程设计

烟台南山学院 数字电子技术课程设计题目脉搏计数电路设计 姓名:___ XXXXXX ___ 所在学院:_工学院电气与电子工程系 所学专业:_ 自动化 班级:___电气工程XXXX 学号:___XXXXXXXXXXXXXX 指导教师:_____ XXXXXXXX ___ 完成时间:____ XXXXXXXXXXXXX

数电课程设计任务书 一、基本情况 学时:40学时学分:1学分适应班级:12电气工程 二、进度安排 本设计共安排1周,合计40学时,具体分配如下: 实习动员及准备工作:2学时 总体方案设计:4学时 查阅资料,讨论设计:24学时 撰写设计报告:8学时 总结:2学时 教师辅导:随时 三、基本要求 1、课程设计的基本要求 数字电子技术课程设计是在学习完数字电子课程之后,按照课程教学要求,对学生进行综合性训练的一个实践教学环节。主要是培养学生综合运用理论知识的能力,分析问题和解决问题的能力,以及根据实际要求进行独立设计的能力。初步掌握数字电子线路的安装、布线、焊接、调试等基本技能;熟练掌握电子电路基本元器件的使用方法,训练、提高读图能力;掌握组装调试方法。其中理论设计包括总体方案选择,具体电路设计,选择元器件及计算参数等,课程设计的最后要求是写出设计总结报告,把设计内容进行全面的总结,若有实践条件,把实践内容上升到理论高度。 2、课程设计的教学要求 数字电子技术课程设计的教学采用相对集中的方式进行,以班为单位全班学生集中到设计室进行。做到实训教学课堂化,严格考勤制度,在实训期间累计旷课达到6节以上,或者迟到、早退累计达到8次以上的学生,该课程考核按不及格处理。在实训期间需要外出查找资料,必须在指定的时间内方可外出。 课程设计的任务相对分散,每3名学生组成一个小组,完成一个课题的设计。小组成员既有分工、又要协作,同一小组的成员之间可以相互探讨、协商,可以互相借鉴或参考别人

模电实验报告

模拟电子电路课程设计报告书 题目名称:直流稳压电源 姓名:刘海东潘天德 班级:15电科2 学号:23 26 日期:2017.6.11

目录 绪论 (2) 一设计目的 (3) 二设计要求与指标 (3) 三理论分析 (4) 四器件选择及计算 (9) 五具体制作步骤 (12) 六测试方法 (13) 七问题及总结 (15) 八心得体会 (17) 绪论 直流稳压电源一般由电源变压器,整流滤波电路及稳压电路所组成。变压器把市电交流电压变为所需要的低压交流电。整流器把交流电变为直流电。经滤波后,稳压器再把不稳定的直流电压变为稳定的直流电压输出。本设计主要采用直流稳压构成集成稳压电路,通过变压,整流,滤波,稳压过程将220V交流电,变为稳定的+/- 5v直流电,并实现电压可在8-15V连续可调。电源在生活中是非常常见的一种电器,任何电子电路都离不开电源,就像我们下学期即将学到的单片机一样,需要5V的直流电源,没有电源就不能进行正常的工作,如果用干电池进行供电,则有供电功率低,持续供电能力差,成本高等缺点。而交流电在产生、电能输送等方面具有独特的优点,发电站、各市电网中的电能传输都是以交流电的形式进行输送,如果我们对市电提供的电压进行降压整流等,把交流电转换成直流电,以获得我们所

需要的电压。 一设计目的 1.学习基本理论在实践中综合运用的初步经验,掌握模拟电路设计的基本方法、设计步骤,培养综合设计与调试能力。 2.学会直流稳压电源的设计方法和性能指标测试方法。 3.培养实践技能,提高分析和解决实际问题的能力。 二设计要求与指标 2.1设计要求 (1)分析电路组成及工作原理; (2)单元电路设计计算; (3)采用分立元件电路; (4)画出完整电路图; (5)调试方法; (6)小结与讨论。 2.2设计指标 (1)输出电压:8~15V可调 (2)输出电流:I O=1A (3)输入电压:交流 220V+/-10%

数电课程设计报告数字钟的设计

数电课程设计报告数字钟的设计

数电课程设计报告 第一章设计背景与要求 设计要求 第二章系统概述 2.1设计思想与方案选择 2.2各功能块的组成 2.3工作原理 第三章单元电路设计与分析 3.1各单元电路的选择 3.2设计及工作原理分析 第四章电路的组构与调试 4.1遇到的主要问题 4.2现象记录及原因分析 4.3解决措施及效果 4.4功能的测试方法,步骤,记录的数据 第五章结束语 5.1对设计题目的结论性意见及进一步改进的意向说明5.2总结设计的收获与体会 附图(电路总图及各个模块详图) 参考文献

第一章设计背景与要求 一.设计背景与要求 在公共场所,例如车站、码头,准确的时间显得特别重要,否则很有可能给外出办事即旅行袋来麻烦。数字钟是一种用数字电路技术实现时、分、秒计时的装置,与机械式时钟相比具有更高的准确度和直观性,且无机械装置,具有更长的使用寿命,因此得到了广泛的使用。数字钟是一种典型的数字电路,包括了组合逻辑电路和时序电路。 设计一个简易数字钟,具有整点报时和校时功能。 (1)以四位LED数码管显示时、分,时为二十四进制。 (2)时、分显示数字之间以小数点间隔,小数点以1Hz频率、50%占空比的亮、灭规律表示秒计时。 (3)整点报时采用蜂鸣器实现。每当整点前控制蜂鸣器以低频鸣响4次,响1s、停1s,直到整点前一秒以高频响1s,整点时结束。 (4)才用两个按键分别控制“校时”或“校分”。按下校时键时,是显示值以0~23循环变化;按下“校分”键时,分显示值以0~59循环变化,但时显示值不能变化。 二.设计要求 电子技术是一门实践性很强的课程,加强工程训练,特别是技能的培养,对于培养学生的素质和能力具有十分重要的作用。在电子信息类本科教学中,课程设计是一个重要的实践环节,它包括选

数电课程设计报告新编

数电课程设计报告新编 IMB standardization office【IMB 5AB- IMBK 08- IMB 2C】

《基于FPGA的洗衣机电机正反转控制器》学院:信息与控制工程学院 专业:电子信息工程 班级: 姓名: 学号: 2014年7月

目录 1.设计任务与要求 (1) 2.设计思路 (1) 3.设计原理及方案 (2) 4.总结与讨论 (14)

一、设计任务及要求: 1.控制洗衣机的电机作如下周期性运转,正转4S――暂停2S――反转4S――暂停2S,用8位七段数码管显示自己学号的后四位(显示在从左边数第一个到第四个数码管上)、定时时间(两位,单位:分钟,显示在第五个和第六个数码管上),剩余时间(两位,单位:分钟,显示在第七个和第八个数码管上 2.洗衣机控制器的工作过程为: (1)上电后显示自己学号的后四位,在运行中不变;初始洗涤时间为10分钟,在开始前可用S1和S2按键设置总的工作时间,确定洗衣机控制器定时工作时间。(按下并松开S1定时时间增加一分钟,按下并松开S2定时时间减少一分钟,时间范围为:00~30分钟) (2)设定好定时时间后,按下并松开S3(按下时S3=0,松开时S3=1),启动控制器,整个系统开始运行;再次按下并松开S3,停止运行;再次按下并松开S3继续运行;按下并松开S4则回到上电初始状态。其他两个按键不起作用。到达定时时间后,停止运行,按下并松开S4则回到初始状态,在运行中要显示定时时间和剩余工作时间,当剩余时间为0时,要显示“End”。在工作过程中用三个LED指示灯指示电机工作状态,正转D1灯亮,反转D2灯亮,暂停D3灯亮, 如此反复直至工作时间为0停止(三个LED灯都不亮)。 系统总体框图如下: 二、设计思路 为了便于计时,首先把1000Hz的外部时钟分频为1Hz。正转时间设为4s,反转设为4s,暂停设为2s,令洗衣机按照正转4s、暂停2s、反转4s、暂停2s的顺序进行旋转,周期恰好为10秒,而定时时间单位为分钟,因此需要设计分钟计数器和秒计数器。开发板提供的时钟信号CP频率为1000Hz,应该设计一分频器得到1Hz的时钟信号作为时间计数脉冲。

模电课程设计实验报告分析

模电课程设计实验报告 实验内容:一、设计并制作一个能输出+5V 电压的直流稳压电源,输入电压为直流9V。二、利用课程设计(一)制作的电源、电压比较器、电压跟随器设计,驱动三 极管,通过可调电阻,控制LED灯的点亮和熄灭。 实验要求:(1)设计出+5V 直流稳压电源的电路原理图; (2)在万用板上焊接组装给定的元器件并进行调试,输入电压没有极性之分, 输出电压+5V,并点亮电源指示灯(红色); (3)设计一款电压比较器A,参考电压2.5V; (4)设计一款电压跟随器B,跟随电压比较器A 的电压; (5)驱动三极管,通过可调电阻,实现对LED(绿色)灯的控制; (6)完成课程设计报告的撰写。 实验原理: 一、制作稳定电压源 采用二极管、集成运放、电阻、稳压管、电容、二极管、LED发光二极管等元件器件。 输入电压为9V 的直流电源经桥式整流电路和滤波电路形成稳定的直流电源,稳压部分采用 串联型稳压电路。比例运算电路的输入电压为稳定电压;同时,为了扩大输出大电流,集 成运放输出端加晶体管,并保持射极输出形式,就构成了具有放大环节的串联型稳压电路。整体功能结构如图 直流9V 1、单相桥式整流电路 直流5V 为了将电压转换为单一方向的电压,通过整流电路实现。查阅资料可知单相整流电路有单相桥式整流电路(全波整流电路)。桥式整流电路巧妙地利用了二极管的单向导电性,将四个二极管分为两组,根据变压器次级电压的极性分别导通,将变压器次级电压的正极性端与负载电阻的上端相连,负极性端与负载电阻的下端相连,使负载上始终可以得到一个单方向的脉动电压。单相桥式整流电路,具有输出电压高,变压器利用率高、脉动系数小等优点。所以在电路中采用单相桥式整流电路。 2、滤波电路 整流电路滤波电路稳压电路

数字电子技术课程设计

数字电子技术课程设计报告 指导老师:XXX 班级:XXX 学号:XXX 姓名:XXX 浙江理工大学本科课程设计任务书

1产品简介 红外线心率计就就是通过红外线传感器检测出手指中动脉血管的微弱波动,由计数器计算出每分钟波动的次数。但手指中的毛细血管的波动就是很微弱的,因此需要一个高放大倍数且低噪声的放大器,这就是红外线心率计的设计关键所在。通过本产品的制作,可以使学生掌握常用模拟、数字集成电路(运算放大器、非门、555定时器、计数器、译码器等)的应用。 2 红外线心率计工作原理 2、1 红外线心率计的原理框图 整机电路由-10V电源变换电路、血液波动检测电路、放大整形滤波电路、3位计数器电路、门控电路、译码驱动显示电路组成,如图1所示。 2、2 单元电路的工作原理 ⑴负电源变换电路 为简化实验的步骤,实验中直接用+12V、与-10V的电源代替负电源变换电路。 ⑵血液波动检测电路 实验中采用信号源发生器直接产生正弦波代替原来的血液波动检测电路。 ⑶放大、整形、滤波电路 放大、整形、滤波电路就是把传感起检测到的微弱电信号进行放大、整形、滤波,最后输出反映心跳频率的方波,如图5所示。其中LM741为高精度单运放电路,它们的引脚功能如图3 (b)所示。IC2、IC3、IC4都为LM741。

图5 信号放大、整形电路 因为传感器送来的信号幅度只有2~5毫伏,要放大到10V 左右才能作为计数器的输入脉冲。因此放大倍数设计在4000倍左右。两级放大器都接成反相比例放大器的电路,经过两级放大、反相后的波形就是跟输入波形同相、且放大了的波形。放大后的波形就是一个交流信号。其中A 1、A 2的供电方式就是正负电源供电,电源为+12V 、-10V 。 A 1、A 2与周围元件组成二级放大电路,放大倍数A uf 为: 40006666R R R R A 6 8 34uf ≈?=?= 由于放大后的波形就是一个交流信号,而计数器需要的就是单方向的直流脉冲信号。所以经过V 3检波后变成单方向的直流脉冲信号,并把检波后的信号送到RC 两阶滤波电路,滤波电路的作用就是滤除放大后的干扰信号。R 9、V 4组成传感器工作指示电路,当传感器接收到心跳信号时,V 4就会按心跳的强度而改变亮度,因此V 4正常工作时就是按心跳的频率闪烁。直流脉冲信号滤波后送入A 3的同相输入端,反相输入端接一个固定的电平,A 3就是作为一个电压比较器来工作的,就是单电源供电。当A 3的3脚电压高于2脚电压的时候,6脚输出高电平;当A 3的3脚电压低于2脚电压的时候,6脚输出低电平,所以A 3输出一个反应心跳频率的方波信号。 ⑷ 门控电路 555定时器就是一种将模拟电路与数字电路集成于一体的电子器件,用它可以构成单稳态触发器、多谐振荡器与施密特触发器等多种电路。555定时器在工业控制、定时、检测、报警等方面有广泛应用。 555定时器内部电路及其电路功能如图6(a)、(b)所示。555内部电路由基本RS 触发器FF 、比较器COMP 1、COMP 2与场效应管V1组成(参见图6(a))。当555内部的COMP 1反相输入 端(-)的输入信号V R 小于其同相输入端(+)的比较电压V CO (DD 3 2V V co =)时,COMP 1输出高电位,置触发器FF 为低电平,即Q=0;当COMP 2同相输入端(+)的输入信号S V 大于其反相输入端(-)的比较电压V CO /2(1/3V DD )时,COMP 2输出高电位,置触发器FF 为高电平,即Q=1。D R 就是直接复位端,0R D =,Q=0;MOS 管V 1就是单稳态等定时电路时,供定时电容C 对地放电作用。 注意:电压V CO 可以外部提供,故称外加控制电压,也可以使用内部分压器产生的电压,这时COMP 2的比较电压为V DD /3,不用时常接0、01μF 电容到地以防干扰。

数电课程设计

一、数字电子钟 1.设计目得 (1)培养数字电路得设计能力。 (2)掌握数字电子钟得设计、组装与调试方法。 2.设计内容及要求 (1)设计一个数字电子钟电路。要求: ①按24小时制直接显示“时”、“分”、“秒”。 ②当电路发生走时误差时具有校时功能。 ③具有整点报时功能,报时音响为4低1高,即在59分51秒、53秒、55秒、57秒输出500Hz信号,在59分59秒时输出1000 Hz信号,音响持续时间为1秒,最后一响结束时刻正好为整点。 (2)用中小规模集成电路组成电子钟,并在实验仪上进行组装、调试。 (3)画出各单元电路图、整机逻辑框图与逻辑电路图,写出设计、实验总结报告。 (4)选作部分:①闹钟系统。②日历系统。 3.数字电子钟基本原理及设计方法 数字电子钟得逻辑框图如图1411所示。它由振荡器、分频器、计数器、译码器、显示器、校时电路与整点报时电路组成。振荡器产生得脉冲信号经过分频器作为秒脉冲,秒脉冲送入计数器计数,计数结果通过“时”、“分”、“秒”译码器显示时间。有得数字电子钟还加有定时响铃、日历显示等其它功能,需增加相应得辅助电路。 图1411 数字电子钟得基本逻辑框图 (1)振荡分频电路 振荡器就是数字电子钟内部用来产生时间标准“秒”信号得电路。构成振荡器得电路很多,图1412(a)就是RC环形多谐振荡器,其振荡周期T≈2、2RC。作为时钟,最主要得就是走时准确,这就要求振荡器得频率稳定。要得到频率稳定得信号,需要采用石英晶体振荡器。石英晶体振荡器电路如图1412(b)所示,这种电路得振荡频率只取决于石英晶体本身得固有频率。 图1412 振荡器

(a)RC环形多谐振荡器 (b)石英晶体多谐振荡器 由于石英晶体振荡器产生得频率很高,要得到秒信号,需采用分频电路。例如,振荡器输出4 MHz信号,先经过4分频变成1 MHz,再经过6次10分频计数器,便可得到1Hz得方波信号作为秒脉冲。 (2)计数器 把秒脉冲信号送入秒计数器个位得CP输入端,经过6级计数器,分别得到“秒”个位、十位,“分”个位、十位,以及“时”个位、十位得计时。“秒”、“分”计数器为60进制,“时”计数器为24进制。 24进制计数器如图1413所示。当“时”个位计数器输入端CP来到第10个触发脉冲时,该计数器归零,进位端Q D5向“时”十位计数器输出进位信号。当第24个“时”脉冲(来自“分”计数器输出得进位信号)到来时,十位计数器得状态为0010,个位计数器得状态位0100,此时“时”十位计数器得Q B6与“时”个位计数器得Q C5输出为1。两者相与后送到两计数器得清零端R0A与R0B,通过74LS90内部得R0A与R0B与非后清零,完成24进制计数。同理可构成60进制计数器。 CP 来自分计数器 的进位信号 图1413 24进制计数器 (3)译码显示电路 译码驱动器采用8421 BCD码七段译码驱动器74LS48,显示器采用共阴极数七段数码显示器,有关74LS48与七段显示器得使用方法前面已经作了介绍,这里不再赘述。 (4)校时电路 当数字电子钟出现走时误差时,需要对时间进行校准。实现校时电路得方法很多,如图1414所示电路即可作为时计数器或分计数器得校时电路。 图1414 校时电路 现设用该电路作为分计数器得校时电路,图中采用RS触发器作为无抖动开关。通过开关K得接入位置,可以选择就是将“1 Hz信号”还就是将“来自秒计数器得进位信号”送至分计数器得CP端。当开关K置于B端时,RS触发器得输出、,“来自秒计数器得进位信号”被送至分计数器得CP端,分计数器正常工作;需要校正分计数器时,将开关K置于A端,这时RS触发器得输出、,“1 Hz信号”被送至分计数器得CP端,分计数器在“1Hz信号”得作用下快速计数,直至正确得时间,再将开关K置于B端,达到了校准时间得目得。 (5)整点报时电路 电路得设计要求在差10 s为整点时开始每隔1 s鸣叫一次,每次持续时间为1 s,共鸣叫5次,前4次为低音500 Hz,最后一次为高音1 kHz。因为分计数器与秒计数器从59分51秒计数到59分59秒得过程中,只有秒个位计数器计数,分十位、分个位、秒十位计数器得状态不变,分别为Q D4Q C4Q B4Q A4=0101,Q D3Q C3Q B3Q A3=1001,Q D2Q C2Q B2Q A2=0101,所以Q C4=Q A4=Q D3=Q A3=Q C2=Q A2=1不变。设Y1=Q C4Q A4Q D3Q A3Q C2Q A2,又因为在51、53、55、57秒时Q A1=1,Q D1=0,输出500Hz信号f2;59秒时Q A1=1,Q D1=1,输出1kHz信号f1,由此可写出整点报时电路得逻辑表达式为:

模电课设实验报告

河北科技大学 课程设计报告 学生姓名:xxx学号:120701103 专业班级:xxx 课程名称:模拟电子技术基础 学年学期:2 013 —2 014 学年第一学期指导教师:王彦朋蔡明伟 2 0 1 3 年12 月

课程设计成绩评定表

目录 一任务.................................................................................................................. - 1 - 二电路原理图...................................................................................................... - 1 - 三单元电路设计.................................................................................................. - 1 - 1.稳压电源单元电路设计............................................................................... - 1 - 2.正弦波单元电路设计................................................................................... - 2 - 3.方波单元电路设计....................................................................................... - 3 - (1)过零比较器及限幅电路.................................................................. - 3 - (2)反相比例运算放大电路.................................................................. - 4 - 4.三角波单元电路设计................................................................................... - 5 - 四元件明细表...................................................................................................... - 6 - 五安装与调试...................................................................................................... - 7 - 六收获体会.......................................................................................................... - 7 - 七附录.................................................................................................................. - 8 - 八参考文献.......................................................................................................... - 8 -

数字电路课程设计总结报告

数字电路课程设计总结报告题目:交通灯控制器 班级:08通信工程1班 学号:0810618125 姓名:廖小梅 指导老师:张红燕 日期:2010年12月

目录 1、设计背景 2、设计任务书 3、设计框图及总体描述 4、各单元设计电路设计方案与原理说明 5、测试过程及结果分析 6、设计、安装、调试中的体会 7、对本次课程设计的意见及建议 8、附录 9、参考文献 10、成绩评定表格

一、设计背景 随着经济的快速发展,城市交通问题日益凸显严重,尤其在城市街道的十字叉路口,极其容易发生交通问题,为了保证交通秩序和人们的安全,一般在每条街上都有一组红、黄、绿交通信号灯。交通灯控制电路自动控制十字路口的红、黄、绿交通灯。交通灯通过的状态转换,指挥车辆行人通行,保证车辆行人的安全,实现十字路口交通管理自动化。 二、设计任务书 1、设计一个十字路口的交通灯控制电路,要求南北方向(即 A车道)和东西方向(即B车道)两条交叉道路上的车辆 交替运行,每次通行时间都为30秒; 2、在绿灯转红灯时,先由绿灯转为黄灯,黄灯亮6秒后,再 由黄灯转为红灯,此时另一方向才由红灯转为绿灯,车辆 才开始通行。 三、设计框图及总体描述 1、分析系统的逻辑功能,画出其框图 交通灯控制系统的原理框图如图1所示。它主要由控制器、定时器、译码器和秒脉冲信号发生器等部分组成。秒脉冲发生器是该系统中定时器和控制器的标准时钟信号源,译码器输出两组信号灯的控制信号,经驱动电路后驱动信号灯工作,控制器是系统的主要部分,由它控制定时器和译码器的工作。

图1交通灯控制系统原理框图 在图中, T30: 表示甲车道或乙车道绿灯亮的时间间隔为30秒,即车辆正常通行的时间间隔。定时时间到,T30 =1,否则,T30 =0。 T6:表示黄灯亮的时间间隔为6秒。定时时间到,T6=1,否则,T6=0。 S T:表示定时器到了规定的时间后,由控制器发出状态转换信号。 由它控制定时器开始下个工作状态的定时。 交通系统的车道信号灯的工作状态转换如下所述: 状态1:A车道绿灯亮,B车道红灯亮。表示A车道上的车辆允许通行,B车道禁止通行。绿灯亮满规定的时间隔T30时, 控制器发出状态信号S T,转到下一工作状态。 状态2:A车道黄灯亮,B车道红灯亮。表示A车道上未过停车线的车辆停止通行,已过停车线的车辆继续通行,B车 道禁止通行。黄灯亮足规定时间间隔TY时,控制器发 出状态转换信号S T,转到下一工作状态。 状态3:A车道红灯亮,B车道黄灯亮。表示A A车道禁止通行,B车道上的车辆允许通行绿灯亮满规定的时间间隔T30 时,控制器发出状态转换信号S T,转到下一工作状态。

数字电子技术课程设计电子秒表

数字电子技术课程设计报告题目:电子秒表的设计 专业: 班级: 姓名: 指导教师: 课程设计任务书 学生班级:学生姓名:学号: 设计名称:电子秒表的设计 起止日期: 指导教师:周珍艮

目录 绪论- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - 4 第一章、设计要求 1.1设计任务及目的- - - - - - - - - - - - - - - - - - - - - - -- - - - - - - - -5 1.2 系统总体框图- - - - - - - - - - - - - - - - - - - - - - - - - - - - -

- - - 5 1.3、设计方案分析- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -6 第二章、电路工作原理及相关调试 2.1 电路工作原理- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - 7 2.1相关调试- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -- 11 第三章、实验总结 附录A 电子秒表原理图 附录B 相关波形 元件清单 参考文献 绪论 随着电子技术的发展,电子技术在各个领域的运用也越来越广泛,渗透到人们日常生活的方方面面,掌握必要的电工电子知识已经成为当代大学生特别是理工类大学生必备的素质之一。 电子秒表是日常生活中比较常见的电子产品,秒表的逻辑结构主要由时基电路、分频器、二一五一十进制异步加法记数器、数据选择器和显示译码器等组成。整个秒表需有一个清零/ 启动信号和一个停止/保持信号装置,以便秒表能随意停止及启动,计数器的输出全都为BCD码输出,方便显示译码器连接。本次设计基于简单易行的原则,秒表显示以0.1s为最小单位,最大量程为9.9s,采用七段数码管作为显示部分,以此来达到基本设计要求.我门设计的秒表,是以555定时器为核心,以分频、计数与译码显示模块为主要构成部分的电子秒表的设计方案,充分利用数

数字电压表课程设计实验报告

自动化与电气工程学院 电子技术课程设计报告 题目数字电压表的制作 专业 班级 学号 学生姓名 指导教师 二○一三年七月

一、课程设计的目的与意义 1.课程设计的主要目的,是通过电子技术综合设计,熟悉一般电子电路综合设计过程、设计要求、完成的工作内容和具体的设计方法。 2.同时了解双积分式A/D转换器ICL7107的性能及其引脚功能,熟悉集成电路ICL7107构成直流数字电压表的使用方法,并掌握其在电路中的工作原理。 3.通过设计也有助于复习和巩固以往的模电、数电内容,达到灵活应用的目的。在完成设计后还要将设计的电路进行安、调试以加强学生的动手能力。在此过过程中培养从事设计工作的整体观念。 4.利用双积分式A/D转换器ICL7107设计一数字电压表,量程为-1.99—+1.99,通过七段数码管显示。 二、电路原理图 数字电压表原理图

三、课程设计的元器件 1.课程设计所使用的元器件清单: 2.主要元器件介绍 (1)芯片ICL7107: ICL7107的工作原理 双积分型A/D转换器ICL7107是一种间接A/D转换器。它通过对输入模拟电压和参考电压分别进行两次积分,将输入电压平均值变换成与之成正比的时间间隔,然后利用脉冲时间间隔,进而得出相应的数字性输出。 它的原理性框图如图所示,它包括积分器、比较器、计数器,控制逻辑和时钟信号源。积分器是A/D转换器的心脏,在一个测量周期内,积分器先后对输入信号电压和基

准电压进行两次积分。比较器将积分器的输出信号与零电平进行比较,比较的结果作为数字电路的控制信一号。时钟信号源的标准周期Tc 作为测量时间间隔的标准时间。它是由内部的两个反向器以及外部的RC组成的。其振荡周期Tc=2RCIn1.5=2.2RC 。 ICL7106A/D转换器原理图 计数器对反向积分过程的时钟脉冲进行计数。控制逻辑包括分频器、译码器、相位驱动器、控制器和锁存器。 分频器用来对时钟脉冲逐渐分频,得到所需的计数脉冲fc和共阳极LED数码管公共电极所需的方波信号fc。 译码器为BCD-7段译码器,将计数器的BCD码译成LED数码管七段笔画组成数字的相应编码。 驱动器是将译码器输出对应于共阳极数码管七段笔画的逻辑电平变成驱动相应笔画的方波。 控制器的作用有三个:第一,识别积分器的工作状态,适时发出控制信号,使各模拟开关接通或断开,A/D转换器能循环进行。第二,识别输入电压极性,控制LED 数码管的负号显示。第二,当输入电压超量限时发出溢出信号,使千位显示“1" ,其余码全部熄灭。 钓锁存器用来存放A/D转换的结果,锁存器的输出经译码器后驱动LED 。它的每个测量周期自动调零(AZ)、信号积分(INT)和反向积分(DE)三个阶段。

相关文档