文档库 最新最全的文档下载
当前位置:文档库 › Proteus入门

Proteus入门

Proteus入门
Proteus入门

Proteus快速入门

一、Proteus简介

Proteus软件是来自英国Labcenter electronics公司的proteusEDA工具软件,Proteus 软件有十多年的历史,在全球广泛使用,除了其具有和其它EDA工具一样的原理布图、PCB 自动或人工布线及电路仿真的功能外,其革命性的功能是,他的电路仿真是互动的,针对微处理器的应用,还可以直接在基于原理图的虚拟原型上编程,并实现软件源码级的实时调试,如有显示及输出,还能看到运行后输入输出的效果,配合系统配置的虚拟仪器如示波器、逻辑分析仪等,您不需要别的,Proteus为您建立了完备的电子设计开发环境!尤其重要的是Proteus Lite可以完全免费,也可以花微不足道的费用注册达到更好的效果;功能最强的Proteus专业版也非常便宜,人人用得起,对高校还有更多优惠。 Proteus组合了高级原理布图、混合模式SPICE仿真,PCB设计以及自动布线来实现一个完整的电子设计系统。此系统受益于15年来的持续开发,被《电子世界》在其对PCB设计系统的比较文章中评为最好产品—“The Route to PCB CAD”。Proteus 产品系列也包含了革命性的VSM技术,用户可以对基于微控制器的设计连同所有的周围电子器件一起仿真。用户甚至可以实时采用诸如LED/LCD、键盘、RS232终端等动态外设模型来对设计进行交互仿真。其功能模块:—个易用而又功能强大的ISIS原理布图工具;PROSPICE混合模型SPICE仿真; ARES PCB设计. PROSPICE 仿真器的一个扩展PROTEUS VSM:便于包括所有相关的器件的基于微处理器设计的协同仿真。此外,还可以结合微控制器软件使用动态的键盘,开关,按钮,LEDs甚至LCD 显示CPU模型. 支持许多通用的微控制器,如PIC,AVR,HC11以及8051. 最新支持ARM交互的装置模型包括: LED和LCD显示,RS232终端,通用键盘,I2C,SPI器件。强大的proteus 调试工具,包括寄存器和存储器,断点和单步模式,IARC-SPY 和Keil uVision2等开发工具的源层调试,应用特殊模型的DLL界面-提供有关元件库的全部文件,最新版支持非常丰富仿真元件共7000多种,还有很多第三方模型。如MMC卡,以太网卡,ATA硬盘,麦克风,等等

二、Proteus——单片机仿真开发利器

而ISIS模块用来完成电路原理图的布图与仿真。Proteus的软件仿真基于VSM(Virtual System Modelling)技术,它与其他软件最大的不同也是最大的优势就在于它能仿真大量的单片机芯片,比如MCS-51系列、PIC系列等等,以及单片机外围电路,比如键盘、LED、LCD 等等。通过Proteus软件的使用能够轻易地获得一个功能齐全、实用方便的单片机实验室。

主要使用Proteus软件在单片机方面的仿真功能,所以重点研究ISIS模块的用法,在下面的内容中,如不特别说明所说的Proteus软件特指其ISIS模块。

下面首先来熟悉一下Proteus(Proteus版本是7.1)的界面。Proteus是一个标准的Windows窗口程序,和大多数程序一样,没有太大区别,其启动界面如下图所示:

如上图中所示,区域①为菜单及工具栏,区域②为预览区,区域③为元器件浏览区,区域④为编辑窗口,区域⑤为对象拾取区,区域⑥为元器件调整工具

1.proteus添加元件库

建立一个Proteus工程为例来详细讲述Proteus的操作方法以及注意事项。

首先点击启动界面区域③中的“P”按钮(Pick Devices,拾取元器件)来打开“Pick Devices”(拾取元器件)对话框从元件库中拾取所需的元器件,对话框如下图所示:

在对话框中的“Keywords”里面输入要检索的元器件的关键词,比如要选择项目中使用的AT89C51,就可以直接输入。输入以后就能够在中间的“Results”结果栏里面看到搜索的元器件的结果。在对话框的右侧,还能够看到选择的元器件的仿真模型、引脚以及PCB 参数。

这里有一点需要注意,可能有时候选择的元器件并没有仿真模型,对话框将在仿真模型和引脚一栏中显示“No Simulator Model”(无仿真模型)。那么就不能够用该元器件进行仿真了,或者只能做它的PCB板,或者选择其他的与其功能类似而且具有仿真模型的元器件。

搜索到所需的元器件以后,可以双击元器件名来将相应的元器件加入到的文档中,那么接着还可以用相同的方法来搜索并加入其他的元器件。当已经将所需的元器件全部加入到文档中时,可以点击上图对话框中的“OK”按钮来完成元器件的添加。

在元器件浏览区中点击需要添加到文档中的proteus元器件,这时就可以在浏览区看到所选择的元器件的形状与方向,如果其方向不符合要求,可以通过点击元器件调整工具栏中的工具来任意进行调整,调整完成之后在文档中单击并选定好需要放置的位置即可。接着按相同的操作即可完成所有元器件的布置,接下来是连线。事实上Proteus的自动布线功能

是如此的完美以至于在做布线时从来都不会觉得这是一项任务,而通常像是在享受布线的乐

趣。布线时只需要单击选择起点,然后在需要转弯的地方单击一下,按照所需走线的方向移动鼠标到线的终点单击即可。本例布线的结果如下图所示):

因为该工程十分简单,没有必要加上复位电路,所以这点在图中予以忽略,请大家注意。除此以外,可能还发现,单片机系统没有晶振,这一点需注意。事实上在Proteus中单片机的晶振可以省略,系统默认为12MHz,而且很多时候,当然也为了方便,只需要取默认值就可以了。

下面来添加电源。先说明一点,Proteus中单片机芯片默认已经连接电源与地,所以可以省略。然后在添加电源与地以前,先来看一下上面第一个图中区域⑤的对象拾取区,在这里只说明本文中可能会用得到的以及比较重要的工具。

(Selection Mode)。选择模式,通常情况下都需要选中它,比如布局时和布线时。

:(Component Mode)。组件模式,点击该按钮,能够显示出区域③中的proteus 三极管元器件,以便选择。

:(Wire Label Mode)。线路标签模式,选中它并单击文档区电路连线能够为连线添加标签。经常与总线配合使用。

:(Text Script Mode)。文本模式,选中它能够为文档添加文本。

:(Buses Mode)。总线模式,选中它能够在电路中画总线。

:(Terminals Mode)。终端模式,选中它能够为电路添加各种终端,比如输入、输出、电源、地等等。

:(Virtual Instruments Mode)。虚拟仪器模式,选中它能够在区域③中看到很多虚拟仪器,比如示波器、电压表、电流表等等。

下面就来添加电源。首先点击

,选择终端模式,然后在元器件浏览区中点击POWER(电源)来选中电源,通过区域⑥中的PROTEUS下载元器件调整工具进行适当的调整,然后就可以在文档区中单击放置电源了。放置并连接好线路的电路图一部分如下图:

连接好电路图以后还需要做一些修改。由上图可以看出,图中的R1电阻值为10k,这个电阻作为限流电阻显然太大,将使发光二极管D1亮度很低或者根本就不亮,影响的仿真结果。所以要进行修改。修改方法如下:首先双击电阻图标,这时软件将弹出“Edit Component”对话框(见下图所示的对话框),对话框中的“Component Referer”是组件标签之意,可以随便填写,也可以取默认,但要注意在同一文档中不能有两个组件标签相同;“Resistance”就是电阻值了,可以在其后的框中根据需要填入相应的电阻值。填写时需注意其格式,如果直接填写数字,则单位默认为Ω;如果在数字后面加上K或者k,则表示kΩ之意。这里填入270,表示270Ω。

修改好各组件属性以后就要将程序(HEX文件)载入单片机了。首先双击单片机图标,系统同样会弹出“Edit Component”对话框,如下图。在这个对话框中点击“Program files”框右侧的,来打开选择程序代码窗口,选中相应的proteus应用指南HEX文件后返回,这时,按钮左侧的框中就填入了相应的HEX文件,点击对话框的“OK”按钮,回到文档,程序文件就添加完毕了。

装载好程序,就可以进行仿真了。首先来熟悉一下上面第一个图中区域⑦的运行工具条。因为比较简单,只作一下介绍。

工具条从左到右依次是“Play”、“Step”、“Pause”、“Stop”按钮,即运行、步进、暂停、停止。下面点击“Play”按钮来仿真运行,效果如下图所示,可以看到系统按照的程序在运行着,而且还能看到其高低电平的实时变化。如果已经观察到了结果就可以点击“Stop”来停止运行:

教大家proteus仿真怎么看电流方向

system---set Animation Options---show wire current with Arrows?选上就可以了

proteus ISIS元件库列表中英文对照

符号(Symbol)

Proteus 6.7 入门教程

Proteus 入门教程 本文将简单介绍一下Proteus的使用。在这里,我用的Proteus版本是Proteus 6.7 sp3 Professional。 1Proteus 6 Professional界面简介 安装完Proteus后,运行ISIS 6 Professional,会出现以下窗口界面: 为了方便介绍,我分别对窗口内各部分进行中文说明(见上图)。下面简单介绍各部分的功能:

1.1原理图编辑窗口(The Editing Window): 顾名思义,它是用来绘制原理图的。蓝色方框内为可编辑区,元件要放到它里面。注意,这个窗口是没有滚动条的,你可用预览窗口来改变原理图的可视范围。 1.2模型选择工具栏(Mode Selector Toolbar): 主要模型(Main Modes): 1* 选择元件(components)(默认选择的) 2* 放置连接点 3* 放置标签(用总线时会用到) 4* 放置文本 5* 用于绘制总线

6* 用于放置子电路 7* 用于即时编辑元件参数(先单击该图标再单击要修改的元件) 配件(Gadgets): 1* 终端接口(terminals):有VCC、地、输出、输入等接口 2* 器件引脚:用于绘制各种引脚 3* 仿真图表(graph):用于各种分析,如Noise Analysis 4* 录音机 5* 信号发生器(generators) 6* 电压探针:使用仿真图表时要用到 7* 电流探针:使用仿真图表时要用到 8* 虚拟仪表:有示波器等 2D图形(2D Graphics): 1* 画各种直线 2* 画各种方框 3* 画各种圆 4* 画各种圆弧 5* 画各种多边形 6* 画各种文本 7* 画符号 8* 画原点等 1.3元件列表(The Object Selector): 用于挑选元件(components)、终端接口(terminals)、信号发生器(generators)、仿真图表(graph)等。举例,当你选择“元件(components)”,单击“P”按钮会打开挑选元

Proteus 快速入门教程

Proteus 快速入门教程 Proteus ISIS是英国Labcenter公司开发的电路分析与实物仿真软件。它运行于Windows 操作系统上,可以仿真、分析(SPICE)各种模拟器件和集成电路,该软件的特点是:①实现了单片机仿真和SPICE电路仿真相结合。具有模拟电路仿真、数字电路仿真、单片机及其外围电路组成的系统的仿真、RS232动态仿真、I2C调试器、SPI调试器、键盘和LCD系统仿真的功能;有各种虚拟仪器,如示波器、逻辑分析仪、信号发生器等。②支持主流单片机系统的仿真。目前支持的单片机类型有:68000系列、8051系列、AVR系列、PIC12系列、PIC16系列、PIC18系列、Z80系列、HC11、ARM7系列以及各种外围芯片。③提供软件调试功能。在硬件仿真系统中具有全速、单步、设置断点等调试功能,同时可以观察各个变量、寄存器等的当前状态,因此在该软件仿真系统中,也必须具有这些功能;同时支持第三方的软件编译和调试环境,如Keil C51 uVision2等软件。④具有强大的原理图绘制功能。总之,该软件是一款集单片机和SPICE分析于一身的仿真软件,功能极其强大。 一、Proteus 7Professional 界面简介 安装完Proteus 后,单击ISIS7快捷方式,运行ISIS 7 Professional,会出现以下窗口界面: Proteus ISIS的工作界面是一种标准的Windows界面,包括:标题栏、主菜单、标准工具栏、绘图工具栏、状态栏、对象选择按钮、预览对象方位控制按钮、仿真进程控制按钮、预览窗口、对象选择器窗口、原理图编辑窗口。

对窗口内各部分进行中文说明见上图,各部分的功能简单介绍: 1.原理图编辑窗口(The Editing Window):顾名思义,它是用来绘制原理图的。蓝色方框内为可编辑区,元件要放到它里面。注意,这个窗口是没有滚动条的,你可用预览窗口来改变原理图的可视范围。 2.预览窗口(The Overview Window):它可显示两个内容,一个是:当你在元件列表中选择一个元件时,它会显示该元件的预览图;另一个是,当你的鼠标焦点落在原理图编辑窗口时(即放置元件到原理图编辑窗口后或在原理图编辑窗口中点击鼠标后),它会显示整张原理图的缩略图,并会显示一个绿色的方框,绿色的方框里面的内容就是当前原理图窗口 中显示的内容,因此,你可用鼠标在它上面点击来改变绿色的方框的位置,从而改变原理图的可视范围。 3.模型选择工具栏(Mode Selector Toolbar): 主要模型(Main Modes): 1* 选择元件(components)(默认选择的) 2* 放置连接点 3* 放置标签(用总线时会用到) 4* 放置文本 5* 用于绘制总线 6* 用于放置子电路 7* 用于即时编辑元件参数(先单击该图标再单击要修改的元件) 配件(Gadgets): 1* 终端接口(terminals):有VCC、地、输出、输入等接口 2* 器件引脚:用于绘制各种引脚3* 仿真图表(graph):用于各种分析,如Noise Analysis 4* 录音机 5* 信号发生器(generators) 6* 电压探针:使用仿真图表时要用到 7* 电流探针:使用仿真图表时要用到 8* 虚拟仪表:有示波器等 2D图形(2D Graphics): 1* 画各种直线 2* 画各种方框 3* 画各种圆 4* 画各种圆弧 5* 画各种多边形 6* 画各种文本 7* 画符号 8* 画原点等 4.元件列表(The Object Selector): 用于挑选元件(components)、终端接口(terminals)、信号发生器(generators)、仿真

Proteus仿真单片机实例

引言 单片机体积小,重量轻,具有很强的灵活性而且价格便宜,具有逻辑判断,定时计数等多种功能,广泛应用于仪器仪表,家用电器,医用设备的智能化管理和过程控制等领域。以单片机为核心的嵌入式系统已经成为目前电子设计最活跃的领域之一。在嵌入式系统的中,开发板成本高,特别是对于大量的初学者而言,还可能由于设计的错误导致开发板损坏。利用Proteus我们可以很好地解决这个问题,由此我们可以快速地建立一个仿真系统。 2.Proteus介绍 Proteus是英国Labcenter Electro-nics公司开发的一款电路仿真软件,软件由两部分组成:一部分是智能原理图输入系统ISIS(Intelligent Schematic Input System)和虚拟系统模型VSM(Virtual Model System);另一部分是高级布线及编辑软件ARES(Adv-Ancd Routing And Editing Software)也就是PCB. 2.1 Proteus VSM的仿真 Proteus可以仿真模拟电路及数字电路,也可以仿真模拟数字混合电路。 Proteus可提供30多种元件库,超过8000种模拟、数字元器件。可以按照设计的要求选择不同生产厂家的元器件。此外,对于元器件库中没有的元件,设计者也可以通过软件自己创建。 除拥有丰富的元器件外,Proteus还提供了各种虚拟仪器,如常用的电流表,电压表,示波器,计数/定时/频率计,SPI调试器等虚拟终端。支持图形化的分析功能等。 Proteus特别适合对嵌入式系统进行软硬件协同设计与仿真,其最大的特点是可以仿真8051,PIA,A VR,ARM等多种系列的处理器。Protues包含强大的调试工具,具有对寄存器和存储器、断点和单步模式IAR C-SPY,Keil、MPLAB等开发工具的源程序进行调试的功能;能够观察代码在仿真硬件上的实时运行效果;对显示,按钮,键盘等外设的交互可视化进行仿真。 2.2 Proteus PCB Proteus 的PCB设计除了有自动布线仿真功能外,还集成了PCB设计,支持多达16个布线层,可以任意角度放置元件和焊接连线;集成了高智能的布线算法,可以方便地进行PCB设计。 3. 基于Protesus的简单数据采集系统。 3.1 软件的编写 本例题采用可调电阻调节电压值作为模拟信号的输入量,通过A/D转换芯片AD0808把模拟信号转换为数字量传送到单片机的P1口,并在P0口把转换的结果显示出来。 软件的编写可以在Keil C51 环境下进行,芯片的型号选择AT89C51,编写data.c文件,利用Keil C51进行编译,编译成功后生成data.hex文件。 3.2 绘制电路图 运行Proteus的ISIS,进入仿真软件的主界面,如图1所示。主界面分为菜单栏,工具栏,模型显示窗口,模型选择区,元件列表区等。

单片机PROTEUS仿真100实例

《单片机C语言程序设计实训100例—基于8051+Proteus仿真》案例 第01 篇基础程序设计 01 闪烁的LED /* 名称:闪烁的LED 说明:LED按设定的时间间隔闪烁 */ #include #define uchar unsigned char #define uint unsigned int sbit LED=P1^0; //延时 void DelayMS(uint x) { uchar i; while(x--) { for(i=0;i<120;i++); } } //主程序 void main() { while(1) { LED=~LED; DelayMS(150); } } 02 从左到右的流水灯 /* 名称:从左到右的流水灯 说明:接在P0口的8个LED 从左到右循环依次点亮,产生走 马灯效果 */ #include #include #define uchar unsigned char #define uint unsigned int

//延时 void DelayMS(uint x) { uchar i; while(x--) { for(i=0;i<120;i++); } } //主程序 void main() { P0=0xfe; while(1) { P0=_crol_(P0,1); //P0的值向左循环移动 DelayMS(150); } } 03 8只LED左右来回点亮 /* 名称:8只LED左右来回点亮 说明:程序利用循环移位函数_crol_和_cror_形成来回滚动的效果*/ #include #include #define uchar unsigned char #define uint unsigned int //延时 void DelayMS(uint x) { uchar i; while(x--) { for(i=0;i<120;i++); } } //主程序 void main() { uchar i; P2=0x01; while(1) {

PROTEUS仿真100实例(完整资料).doc

【最新整理,下载后即可编辑】 《单片机C语言程序设计实训100例—基于8051+Proteus仿真》案例 第01 篇基础程序设计 01 闪烁的LED /* 名称:闪烁的LED 说明:LED按设定的时间 间隔闪烁 */ #include #define uchar unsigned char #define uint unsigned int sbit LED=P1^0; //延时 void DelayMS(uint x) { uchar i; while(x--) { for(i=0;i<120;i++); } } //主程序 void main() { while(1) { LED=~LED; DelayMS(150); }

02 从左到右的流水 灯 /* 名称:从左到右 的流水灯 说明:接在P0口的 8个LED从左到右循环依次点亮,产生走马灯效果*/ #include #include #define uchar unsigned char #define uint unsigned int //延时 void DelayMS(uint x) { uchar i; while(x--) { for(i=0;i<120;i++); } } //主程序 void main() { P0=0xfe; while(1) { P0=_crol_(P0,1); //P0的值向左循环移动 DelayMS(150); } }

03 8只LED左右来回点亮 /* 名称:8只LED左右来回点亮 说明:程序利用循环移位函数_crol_和_cror_形成来回滚动的效果*/ #include #include #define uchar unsigned char #define uint unsigned int //延时 void DelayMS(uint x) { uchar i; while(x--) { for(i=0;i<120;i++); } } //主程序 void main() { uchar i; P2=0x01; while(1) { for(i=0;i<7;i++) { P2=_crol_(P2,1); //P2的值向左循环移动 DelayMS(150); }

Proteus软件快速入门

项目二 Proteus软件快速入门 一、实训目的与要求: 1、认识Proteus软件; 2、掌握用Proteus软件建立文件; 3、掌握如何使用Proteus软件元件库; 二、实训场地、设备、仪器、工具: 安装有Proteus和Keil软件的微机。 三、实训步骤: 1、在Proteus软件中画原理图; 2、Keil软件下编写源程序并编译形成可执行文件.hex; 3、载源程序; 4、仿真。 四、实训内容: 1、PROTUES ISIS设计与仿真平台 1.1 ISIS 窗口介绍 在计算机上启动PROTUES ISIS后直接进入ISIS窗口如图2.1所示:

2.2 PROTUES 文件操作 (1)文件的建立和保存 如图所示,可以通过点击文件菜单(File )或工具按钮来新建、 打开、保存设计文件。 图2.2 选择“File->New Design ”菜单项,弹出如图2.3所示的新建设计(Creat New Design )对话框,对话框中有多种可供选择的模板,选择所需模板,单击“OK ” 编 对象预览窗口 工 菜

按钮即可建立一个新的空白文件。通常系统默认模板为DEFAULT模板。如要保存设计文件,点击“File->Save Design”命令,输入文件名后点击保存按钮,注意保存的文件类型为Design File。 图2.3 (2)打开已保存文件 选择“File->Load Design”菜单项或单击工具图标,弹出“Load ISIS Design File”对话框如图2.4所示,选择所要打开的文件即可。注意打开的是.DNS设计文件。

图2.4 (3) PROTUES文件类型 PROTUES中的主要有以下文件类型 设计文件(*.DSN),包含了一个电路所有的信息,最为常用。 备份文件(*.DBK),保存覆盖现有设计文件时会产生文件备份。 局部文件(*.SEC),设计图的一部分,可输出为一个局部文件,以后可以导入到其他的图中。在文件菜单中以导入(Import)导出(Export)命令来操作。 模型文件(*.MOD) 库文件(*.LIB),元器件和库。 网表文件(*.SDF),当输出到PROSPICE and ARES时产生的网表文件,扩展名为.SDF。PROTEUS VSM中还有一些其他文件类型,可参看相关资料。 2.3 PROTUES 库 PROTUES的库相当丰富,有系统符号库和元件库,系统符号库有124个,其中有终端、模块端口、器件阴交等符号,可直接放置到原理图中,也可用来建立自己的元件模型,元件库大约有30个,每个苦又有许多模型,总共有大约8000 多个,元器件库如图2.5所示:

创建基于DLL的Proteus仿真模型

创建基于DLL的Proteus VSM仿真模型 作者:silingsong 一、Proteus VSM仿真模型简介 在使用Proteus仿真单片机系统的过程中,经常找不到所需的元件,这就需要自己编写。Proteus VSM 的一个主要特色是使用基于DLL组件模型的可扩展性。这些模型分为两类:电气模型(Electrical Model)和绘图模型(Graphical Model)。电气模型实现元件的电气特性,按规定的时序接收数据和输出数据;绘图模型实现仿真时与用户的交互,例如LCD的显示。一个元件可以只实现电气模型,也可以都实现电气和绘图模型。 Proteus为VSM模型提供了一些C++抽象类接口,用户创建元件时需要在DLL中实现相应的抽象类。VSM模型和Proteus系统通信的原理如下图: 绘图模型接口抽象类: ICOMPONENT――ISIS内部一个活动组件对象,为VSM模型提供在原理图上绘图和用户交互的服务。 IACTIVEMODEL――用户实现的VSM绘图模型要继承此类,并实现相应的绘图和键盘鼠标事件处理。 电气模型接口抽象类: IINSTANCE――一个PROSPICE仿真原始模型,为VSM模型提供访问属性、模拟节点和数据引脚的服务,还允许模型通过仿真日志发出警告和错误信息。 ISPICECKT(模拟)――SPICE拥有的模拟元件,提供的服务:访问、创建和删除节点,在稀疏矩阵上分配空间,同时还允许模型在给定时刻强制仿真时刻点的发生和挂起仿真。 ISPICEMODEL(模拟)――用户实现的VSM模拟元件要继承此类,并实现相应的载入数据,在完成的时间点处理数据等。 IDSIMCKT(数字)――DSIM拥有的数字元件,提供的服务:访问数字系统的变量,创建回调函数和挂起仿真。

PROTEUS_VSM介绍 入门教程

Proteus 入门教程 一、Proteus 6 Professional界面简介 安装完Proteus后,运行ISIS 6 Professional,会出现以下窗口界面: 为了方便介绍,我分别对窗口内各部分进行中文说明(见上图)。下面简单介绍各部分的功能: 1.原理图编辑窗口(The Editing Window):顾名思义,它是用来绘制原理图的。蓝色方框内为可编辑区,元件要放到它里面。注意,这个窗口是 没有滚动条的,你可用预览窗口来改变原理图的可视范围。 2.预览窗口(The Overview Window):它可显示两个内容,一个是:当你在元件列表中选择一个元件时,它会显示该元件的预览图;另一个是, 当你的鼠标焦点落在原理图编辑窗口时(即放置元件到原理图编辑窗口

后或在原理图编辑窗口中点击鼠标后),它会显示整张原理图的缩略图,并会显示一个绿色的方框,绿色的方框里面的内容就是当前原理图窗口中显示的内容,因此,你可用鼠标在它上面点击来改变绿色的方框的位置,从而改变原理图的可视范围。 3.模型选择工具栏(Mode Selector Toolbar): 主要模型(Main Modes): 1* 选择元件(components)(默认选择的) 2* 放置连接点 3* 放置标签(用总线时会用到) 4* 放置文本 5* 用于绘制总线 6* 用于放置子电路 7* 用于即时编辑元件参数(先单击该图标再单击要修改的元件) 配件(Gadgets): 1* 终端接口(terminals):有VCC、地、输出、输入等接口 2* 器件引脚:用于绘制各种引脚

3* 仿真图表(graph):用于各种分析,如Noise Analysis 4* 录音机 5* 信号发生器(generators) 6* 电压探针:使用仿真图表时要用到 7* 电流探针:使用仿真图表时要用到 8* 虚拟仪表:有示波器等 2D图形(2D Graphics): 1* 画各种直线 2* 画各种方框 3* 画各种圆 4* 画各种圆弧 5* 画各种多边形 6* 画各种文本 7* 画符号 8* 画原点等 4.元件列表(The Object Selector): 用于挑选元件(components)、终端接口(terminals)、信号发生器 (generators)、仿真图表(graph)等。举例,当你选择“元件 (components)”,单击“P”按钮会打开挑选元件对话框,选择了一个元 件后(单击了“OK”后),该元件会在元件列表中显示,以后要用到该 元件时,只需在元件列表中选择即可。 5.方向工具栏(Orientation Toolbar): 旋转:旋转角度只能是90的整数倍。 翻转:完成水平翻转和垂直翻转。 使用方法:先右键单击元件,再点击(左击)相应的旋转图标。 6.仿真工具栏 仿真控制按钮 1* 运行 2* 单步运行 3* 暂停 4* 停止 二、操作简介 1、绘制原理图:绘制原理图要在原理图编辑窗口中的蓝色方框内完成。原理图编辑窗口的操作是不同于常用的WINDOWS应用程序的,正确的操作是:用左键放置元件;右键选择元件;双击右键删除元件;右键拖选多个元件;先右键后

Proteus入门教程

Proteus入门教程 Proteus 是英国Labcenter公司开发的电路分析与实物仿真软件。它运行于Windows操作系统上,可以仿真、分析(SPICE)各种模拟器件和集成电路,是目前最好的仿真单片机及外围器件的工具。 下面开始简单介绍一下Proteus 的使用,以点单片亮一个发光二极管为例。(本教程使用的Proteus 版本是Proteus7.5 sp3 Professional汉化版) 1.运行ISIS 7 Professional,出现以下窗口界面: 2.选择元件,把元件添加到元件列表中:单击元件选择按钮“P”(pick), 弹出元件选择窗口:

在左上角的对话框“关键字”中输入我们需要的元件名称, 搜索结果 元件预览图

在出现的搜索结果中双击需要的元件,该元件便会添加到主窗口左侧的元件列表区: 也可以通过元件的相关参数来搜索,例如在这个实验需要30pF 的电容,我们可以在“关键字”对话框中输入“30p ”;文档最后附有一个“Proteus 常用元件库”,可以在里面找到相关元件的英文名称。 找到所需要的元件并把它们添加到元件区 3.绘制电路图 (1)选择元件 在元件列表区单击选中AT89C52,把鼠标移到右侧编辑窗口中,鼠标变成铅笔 双击 AT89C52 晶振 电容 电阻 发光二极管

形状,单击左键,框中出现一个AT89C52 原理图的轮廓图,可以移动。鼠标移到合适的位置后,按下鼠标左键,原理图放好了。 依次将各个元件放置到绘图编辑窗口的合适位置:

绘制电路图时常用的操作: 放置元件到绘图区 单击列表中的元件,然后在右侧的绘图区单击,即可将元件放置到绘图区。(每单击一次鼠标就绘制一个元件,在绘图区空白处单击右键结束这种状态) 删除元件 右击元件一次表示选中(被选中的元件呈红色),选中后再一次右击则是删除。 移动元件 右击选中,然后用左键拖动。 旋转元件 选中元件,按数字键盘上的“+”或“-”号能实现90度旋转 。 以上操作也可以直接右击元件,在弹出的菜单中直接选择

proteus实例简单电路

proteus 实例简单电路

作者:日期:

个人收集整理,勿做商业用途 实例1:用Proteus 做简单仿真电路 操作步骤: 1.运行Proteus 2.按键盘的字母“ p”,或者点击左侧字母P,如下左图所示位置

会弹出选择元件的对话框,如上右图所示,在keywords 中输入battery,则在元件搜索结果中出现跟“battery ”有关的元 件,如下图所示 Battery,可以通过“ proteus 常用元件中英文对照表”查出,这是电池。 3.按照上述方法,依次在keywords中输入“ pot-hg”、“lamp”、“fuse”,添加 上述几个元器件。 其中fuse 需注意选择库为ACTIVE的那个。 选中第一个(对应的库Library 为ACTIVE的那个),双击它,则会在左侧对象列表中出现刚才选中的元件。

到此,在左侧对象列表中,添加了 4 种元件,如上右图 然后关闭选择元件对话框。 其中: BATTERY 是电池, FUSE 是保险丝, LAMP 是灯, POT-HG 是可变电阻。 4. 将各元件放置到原理图编辑窗口中 方法:在对象列表中左键单击选中 battery ,然后将鼠标移至编辑窗口中间位 置,点击鼠标左键,即可。 5. 用导线将各元件连接,组成电路 依次放置各元件,如下图所示 旋转方法:右键单击可变电阻 RV1,在弹出菜单中选中箭头所指选项

个人收集整理,勿做商业用途 方法:鼠标左键点击各元件端点处,拖动鼠标连接。得下图 6. 调整电池电压大小 方法:鼠标移至左侧电池的“ 12V ”位置,并双击鼠标左键,弹出属性编辑窗 口,将 12V 改为 24V ,确定。 完成原理图的绘制,可以通过工具条中的按钮放大或缩小视图 7. 开始仿真 点击屏幕左下角的“启动”按钮 可以看到电路运行效果。

Proteus使用心得

Proteus使用心得 网络转载 1.英国Labcenter electronics公司开发,集电路原理图设计、仿真、制版于一体的EDA软件 2.可以设计和仿真模拟电路、数字电路、数模混合电路、MCU系统 3.目前对单片机系统的开发只能输入汇编语言,但是可以和keil联合调试,在keil中将c代码转换成汇编代码输入到proteus中仿真验证 4.proteus的两种仿真方法:电路动态仿真与图表仿真,动态仿真便于观察电路运行状况,图表仿真便于观察精确的电路细节 5.预览窗口的蓝色方框是可编辑区的缩略图,绿色方框是当前编辑区在屏幕内的可视部分,绿色方框会随屏幕变化 6.常见快捷键: F6--以数表当前位置为中心放大 F7--缩小 F8--放大到屏幕 R--刷新 P--选取元器件快速线标--A 7.proteus中的文件格式:.DSN是设计文件,包含一个设计的全部信息 .SEC 设计图的一部分,用于导入导出 .SDF电路生成的网表文件 8.安装路径下的library.pdf文件有当前软件支持的库列表 9.电路的连接是以grid为端点的,所以设计的时候最好显示grid,grid的间距越小那么电路连接越精确 10.连线过程中每左击鼠标一下则设置一个断点,按住ctrl后不以直线走线 11.电路原理图的设计过程:先取所有元件--摆好位置连接导线--重新命名和设置器件参数值--加上图表或者虚拟仪器仿真 12.proteus数字电路仿真的输入有两种: logicstate输入和数字信号源输入 proteus数字电路仿真的输出有两种: logicprobe输出和电压探针输出(只有电压探针能用于数字电路检测) 13.调试菜单中只有watch窗口能够和电路运行同时显示 14.二极管共阳组接低电平时才亮,共阴组接高电平才亮 15.总线以双击结束,相类似连接以双击完成(便于画导线组) 16.proteus中单片机开发过程:选择单片机类型(对应不同生成工具) 编制source程序 build all程序生成hex文件 将hex文件装载进单片机中仿真验证 17.示波器DC是直流演示,AC是包含直流偏置的交流演示 18.单片机模型包括实际模型和总线式模型(将PO和P2作为地址总线)两种 19.数字电路中显示反变量,命名时应该输入$Q$ 20.PAT快速线标法:快捷键A, net=P1# 21.库中有直接带BCD译码器的数码LED管 22.快速布线:类似的端口上双击,会自动按上一次的布线布线 23.总线与分线是按照名称对应的,区分字母大小写,如果名称没有对应,则总线不会连接各分线 总线名只需与分线名对应,无需与芯片端口名对应,所以做线标是需要做总线和分线线标 仿真以后,没有连通的线以灰点显示,高电平以红点显示,低电平以蓝点显

Proteus使用教程详解

目录 第二章PROTEUS设计与仿真平台的使用 (2) 前言 一、进入Proteus ISIS (3) 二、工作界面 (3) 三、基本操作 (4) 1.图形编辑窗口 (4) 2.预览窗口(The Overview Window) (5) 3.对象选择器窗口 (6) 4.图形编辑的基本操作 (6) 四、绘图主要操作 (11) 1.编辑区域的缩放 11 2. 点状栅格和刷新11 3. 对象的放置和编辑12 五、电路图线路的绘制13 1.画导线13 2.画总线14 3.画总线分支线14 4.放置总线将各总线分支连接起来14 5.放置线路节点14 六、模拟调试15 1.一般电路的模拟调试15 2.单片机电路的模拟调试15 【附录】菜单命令简述 17 一、主窗口菜单 17 二、表格输出窗口(Table)菜单 19 三、方格输出窗口(Grid)菜单 19 四、Smith圆图输出窗口(Smith)菜单 19 五、直方图输出窗口(Histogram)菜单 19 《单片机应用实训教程》实训篇(使用)广州康大学院自动化系电子教研室(1)

第二章PROTEUS设计与仿真平台的使用 前言:PROTEUS是英国Labcenter electronics公司研发的多功能EDA软件,它具有功能很强的ISIS智能原理图输入系统,有非常友好的人机互动窗口界面;有丰富的操作菜单与工具。在ISIS编辑区中,能方便地完成单片机系统的硬件设计、软件设计、单片机源代码级调试与仿真。 PROTEUS有三十多个元器件库,拥有数千种元器件仿真模型;有形象生动的动态器件库、外设库。特别是有从8051系列8位单片机直至ARM7 32位单片机的多种单片机类型库。支持的单片机类型有:68000系列、8051系列、AVR系列、PIC12系列、PIC16系列、PIC18系列、Z80系列、HC11系列以及各种外围芯片。它们是单片机系统设计与仿真的基础。 PROTEUS有多达十余种的信号激励源,十余种虚拟仪器(如示波器、逻辑分析仪、信号发生器等);可提供软件调试功能,即具有模拟电路仿真、数字电路仿真、单片机及其外围电路组成的系统的仿真、RS232动态仿真、I2C调试器、SPI调试器、键盘和LCD系统仿真的功能;还有用来精确测量与分析的PROTEUS高级图表仿真(ASF)。它们构成了单片机系统设计与仿真的完整的虚拟实验室。PROTEUS同时支持第三方的软件编译和调试环境,如Keil C51 uVision2等软件。 PROTEUS还有使用极方便的印刷电路板高级布线编辑软件(PCB)。特别指出,PROTEUS库中数千种仿真模型是依据生产企业提供的数据来建模的。因此,PROTEUS设计与仿真极其接近实际。目前,PROTEUS已成为流行的单片机系统设计与仿真平台,应用于各种领域。 实践证明:PROTEUS是单片机应用产品研发的灵活、高效、正确的设计与仿真平台,它明显提高了研发效率、缩短了研发周期,节约了研发成本。 PROTEUS的问世,刷新了单片机应用产品的研发过程。 1. 单片机应用产品的传统开发 单片机应用产品的传统开发过程一般可分为三步: (1)单片机系统原理图设计,选择、购买元器件和接插件,安装和电气检测等(简称硬件设计);(2)进行单片机系统程序设计,调试、汇编编译等(简称软件设计); (3)单片机系统在线调试、检测,实时运行直至完成(简称单片机系统综合调试)。 2. 单片机应用产品的PROTEUS开发 (1)在PROTEUS 平台上进行单片机系统电路设计、选择元器件、接插件、连接电路和电气检测等(简称PROTEUS 电路设计); (2)在PROTEUS平台上进行单片机系统源程序设计、编辑、汇编编译、调试,最后生成目标代码文件(*.hex)(简称PROTEUS 软件设计); (3)在PROTEUS平台上将目标代码文件加载到单片机系统中,并实现单片机系统的实时交互、协《单片机应用实训教程》实训篇(使用)广州康大学院自动化系电子教研室(2)

基于proteus的51单片机仿真实例六十

基于proteus的51单片机仿真实例五十九、1位数码管显示实例 1、数码管实际上是由7个发光二极管组成一个8字形,另外一个发光二极管做成圆点型,这样就构成了一个数码管。所有的8个二级管的正极或者负极都连到一个公共端点上,对于公共端连在正极的数码管,称为共阳极数码管,反之称为共阴极数码管。 根据数码管的内部结构原理,可以很清楚的知道数码管显示数字的原理。 2、由于单片机的IO口的驱动能力有限,而数码管点亮时需要较大的电流,所以在用单片机构成数码管显示系统时,需要增加驱动电路,最简单的驱动电路就是利用三极管的电流放大能力来输出较大的电流, 3、让数码管显示数字的步骤为: 1)使数码管的公共端连到电源(共阳极)或者地(共阴极)上。 2)向数码管的各个段输出不同的电平。 本例使用单个数码管循环显示0-9这10个数字。 4、在keil c51中新建工程ex47,编写如下程序代码,编译并生成ex47.hex文件 #include //包含头文件 //延时函数,延时约200ms void delay(void) { unsigned char i,j; for(i = 0; i < 255;i++) { for(j = 0;j < 255;j++); } } //主函数 void main(void) {

unsigned char i; unsigned char code Tab[10] = {0xc0,0xf9,0xa4,0xb0,0x99,0x82,0xf8,0x80,0x90}; //定义数字0-9的段码表 P2 = 0xfe; //P2.0输出低电平,数码管电源导通 while(1) { for(i = 0;i < 10;i++) //循环10次。数码管循环显示数字0-9 { P0 = Tab[i]; //P0口输出数字0-9对应的段码 delay(); //延时 } } } 5、在proteus中新建仿真文件ex47.dsn,电路原理图如下所示: 需要说明的是在proteus中,查找排阻(不带公共端)和数码管的方法。 查询数码管元件时,输入关键字“7seg-com..”后,就可以看到各种数码管的电路符号。根据需要选择相应数码管即可。排阻的查找方法:查找带公共端的排阻时,输入关键字“respack”即可。 不带公共端的排阻,输入关键字“RX8”即可

Proteus入门图解教程

Proteus入门教程 Proteus单片机仿真软件是英国Labcenter公司开发的电路分析与实物仿真软件。它运行于Windows操作系统上,可以仿真、分析(SPICE)各种模拟器件和集成电路,是目前最好的仿真单片机及外围器件的工具。 下面开始简单介绍一下Proteus的使用,以点单片亮一个发光二极管为例。 1.运行ISIS 7 Professional,出现以下窗口界面: 2.选择元件,把元件添加到元件列表中:单击元件选择按钮“P”(pick), 弹出元件选择窗口:

在左上角的对话框“关键字”中输入我们需要的元件名称, 在这个实验中我们需要的元件有:单片机AT89C52(Microprocessor AT89C52),晶振 (CRYSTAL),电容(CAPACITOR),电阻(Resistors),发光二极管 (LED -BLBY)。输入的名称是元件的英文名称。但不一定输入完整的名称,输入相应关键字能找到对应的元件就行,例如,在对话框中输入“89C52”,得到以下结果: 在出现的搜索结果中双击需要的元件,该元件便会添加到主窗口左侧的元件列表区: 元件预览图 搜索结果

也可以通过元件的相关参数来搜索,例如在这个实验需要30pF 的电容,我们可以在“关键字”对话框中输入“30p”;文档最后附有一个“Proteus 常用元件库”,可以在里面找到相关元件的英文名称。 找到所需要的元件并把它们添加到元件区 3.绘制电路图 (1)选择元件 在元件列表区单击选中AT89C52,把鼠标移到右侧编辑窗口中,鼠标变成铅笔形状,单击左键,框中出现一个AT89C52 原理图的轮廓图,可以移动。鼠标移到合适的位置后,按下鼠标左键,原理图放好了。 双击 AT89C52 晶振 电容 电阻 发光二极管

proteus自制元件实例

自制元件例一- CSI24WC02 CSI24WC02是串行E2PROM,兼容400 kHz I2C 总线控制。一个元件可以只实现绘图模型或电气模型,也可以电气和绘图模型都实现。该例子我们只实现绘图模型。 详细信息见帮助的Styles :Component creation或Styes: Component creation部分。 管脚描述 CSI24WCXX系列E2PROM提供标准的8脚DIP封装和8脚表面安装的SOIC封装。 其管脚功能描述如下: ●SCL 串行时钟 这是一个输入管脚用于产生器件所有数据发送或接收的时钟 ●SDA串行数据/地址 这是一个双向传输端用于传送地址和所有数据的发送或接收它是一个漏极开路端 因此要求接一个上拉电到Vcc 端典型值为100KHz 是为10K 400KHz 时为1K 对 于一般的数据传输仅在SCL为低期间SDA才允许变化在SCL为高期间变化留给 指示START开始和STOP停止条件 ●A0 A1 A2 器件地址输入端 这些输入端用于多个器件级联时设置器件地址当这些脚悬空时默认值为0 CSI24WC01 除外 ●WP 写保护 如果WP管脚连接到Vcc所有的内容都被写保护只能读当WP 管脚连接到Vss或悬空允许器件进行正常的读/写操作 绘制元件 ●单击2D Graphics Box Mode > COMPONENT,画一个框。 ●单击Device Pins Mode > DEFAULT,画管脚。 ●右击引脚> Edit Properties,输入管脚名称,例如A0;输入默认管脚号,例如1, 确定。 ●选择所设计的图形(翻红)> Library > Make Device…,在Device Name中输入器 件名,例如CSI24WC02;在Reference Prefix中输入引用前缀(放置器件时的默认

proteus实例1简单电路

proteus实例1简单电路

实例1:用Proteus做简单仿真电路 操作步骤: 1. 运行Proteus 2. 按键盘的字母“p”,或者点击左侧字母P,如下左图所示位置

会弹出选择元件的对话框,如上右图所示, 在keywords中输入battery,则在元件搜索结果中出现跟“battery”有关的元件,如下图所示 选中第一个(对应的库Library为ACTIVE的那个),双击它,则会在左侧对象列表中出现刚才选中的元件。 Battery,可以通过“proteus常用元件中英文对照表”查出,这是电池。 3. 按照上述方法,依次在keywords中输入“pot-hg”、“lamp”、“fuse”,添加上述几个元器件。 其中fuse需注意选择库为ACTIVE的那个。

到此,在左侧对象列表中,添加了4种元件,如上右图。 然后关闭选择元件对话框。 其中:BATTERY是电池,FUSE是保险丝,LAMP是灯,POT-HG是可变电阻。 4. 将各元件放置到原理图编辑窗口中 方法:在对象列表中左键单击选中battery,然后将鼠标移至编辑窗口中间位置,点击鼠标左键,即可。 依次放置各元件,如下图所示。 将可变电阻旋转90°,方便连线和看图。 旋转方法:右键单击可变电阻RV1,在弹出菜单中选中箭头所指选项 得 5. 用导线将各元件连接,组成电路

方法:鼠标左键点击各元件端点处,拖动鼠标连接。得下图 6. 调整电池电压大小 方法:鼠标移至左侧电池的“12V”位置,并双击鼠标左键,弹出属性编辑窗口,将12V改为24V,确定。 完成原理图的绘制,可以通过工具条中的按钮放大或缩小视图。 7. 开始仿真 点击屏幕左下角的“启动”按钮 可以看到电路运行效果。

(超好,实用)Proteus_入门教程

Proteus 入门教程 作者:archeng504 日期:2006-1-2 本文将简单介绍一下Proteus 的使用。在这里,我用的Proteus 版本是Proteus 6.7 sp3 Professional。 一、Proteus 6 Professional 界面简介 安装完Proteus 后,运行ISIS 6 Professional,会出现以下窗口界面: 为了方便介绍,我分别对窗口内各部分进行中文说明(见上图)。下面简单介绍各部分的功能: 1.原理图编辑窗口(The Editing Window):顾名思义,它是用来绘制原理图的。蓝色方框内为可编辑区,元件要放到它里面。注意,这个窗口是 没有滚动条的,你可用预览窗口来改变原理图的可视范围。 2.预览窗口(The Overview Window):它可显示两个内容,一个是:当你在元件列表中选择一个元件时,它会显示该元件的预览图;另一个是, 当你的鼠标焦点落在原理图编辑窗口时(即放置元件到原理图编辑窗口 后或在原理图编辑窗口中点击鼠标后),它会显示整张原理图的缩略图, 并会显示一个绿色的方框,绿色的方框里面的内容就是当前原理图窗口

中显示的内容,因此,你可用鼠标在它上面点击来改变绿色的方框的位置,从而改变原理图的可视范围。 3.模型选择工具栏(Mode Selector Toolbar): 主要模型(Main Modes): 1* 选择元件(components)(默认选择的) 2* 放置连接点 3* 放置标签(用总线时会用到) 4* 放置文本 5* 用于绘制总线 6* 用于放置子电路 7* 用于即时编辑元件参数(先单击该图标再单击要修改的元件) 配件(Gadgets): 1* 终端接口(terminals):有VCC、地、输出、输入等接口 2* 器件引脚:用于绘制各种引脚 3* 仿真图表(graph):用于各种分析,如Noise Analysis 4* 录音机 5* 信号发生器(generators) 6* 电压探针:使用仿真图表时要用到 7* 电流探针:使用仿真图表时要用到 8* 虚拟仪表:有示波器等

基于proteus的51单片机仿真实例六十、8位数码管显示实例

基于proteus的51单片机仿真实例六十、8位数码管显示实例 1、本例实现在8位数码管上同时显示多个不同字符。 2、本例使用了8只集成式7段共阳数码管(pruteus中元件标识为7seg-mpx8-ca-blu,共阳为ca,共阴为cc),所有8个数码管的段码引脚a,b,c,d,e,f,g,dp都是分别并联在一起,任何时候发送的段码均会传送到所有数码管上,所有的数码管的共阳极是独立的,本例中个数码管的共阳极分别与8只NPN三极管射极相连,程序运行时,任意时刻仅允许一只数码管的共阳极连接+5V,当向连接段码的端口发送段码值时,相应数字只会显示在某一只数码管上。 3、为了使不同数码管显示不同字符,本例使用的是集成式多位数码管常用的动态扫描显示技术,他利用了人的视觉暂留特征,选通第一只数码管时,发送1的段码;选通第二只数码管时,发送2的段码,...每次仅选通一只数码管,发送相应的段码,每次切换选通下一数码管并发送相应段码的时间间隔非常短,视觉惰性使人感觉不到字符是一个接一个显示在不同的数码管上的,而会觉得所有的字符很稳定的同时显示在不同数码管上。 在控制两位数码管选通的时间间隔时,要注意全屏的扫描频率要高于视觉暂留频率 16-20Hz。对于程序中的点亮一位数码管的延时时间,我们可以尝试将延时时间改为其他数值,观察会出现什么样的效果。 4、在keil c51中新建工程ex48,编写如下程序代码,编译并生成ex48hex文件 /***************************************************************************** * LED数码管显示演示程 序 * * 在8个LED数码管上依次显示 1,2,3,4,5,6,7,8 *

相关文档