文档库 最新最全的文档下载
当前位置:文档库 › 计算机组成原理复习资料

计算机组成原理复习资料

计算机组成原理复习资料
计算机组成原理复习资料

计算机五大部件:运算器、存储器、控制器、输入设备、输出设备

运算器:完成算数和逻辑运算,并将运算的中间结果暂存在运算器

存储器:存放数据和程序

控制器:控制、指挥程序和数据的输入、运行及处理运算结果

输入设备:将人们熟悉的信息形式转换为机器能识别的信息形式

输出设备:将机器运算结果转换为人们熟悉的信息形式

硬件名词解释:

寄存器:暂存指令、数据、地址的存储设备

算数逻辑单元(ALU):完成算数逻辑运算

存储器:存放数据和程序

字:一个存储单元中存放的一串二进制代码

字节:8位二进制代码

字长:字的长度

容量:存储单元个数*存储字长

地址:存储单元的编号

CPU:中央处理器,包含控制器和运算器

主机:CPU与主存储器

主存:存放数据与程序,可直接与CPU交换信息

辅存:

总线:连接多个部件的信息传输线,各部件共享的传输介质

数据:

兼容:

指令流:

地址流

如何区分存储器中的指令和数据:执行阶段取出的是数据,取址阶段取的是指令

总线分类:

1.片内总线

芯片内部的总线

2.系统总线

CPU、IO设备、主存之间的信息传输线

2.1 数据总线传输各部件的数据信息,双向传递

2.2 地址总线指出数据总线上的数据在主存单元的地址或IO设备的地址,单向

2.3 控制总线发出各种控制信号的传输线,双向

3.通信总线

计算机系统之间或与其他系统间的通信

2.1 串行通信数据在单条1位宽的传输线上,一位一位按顺序分时传送

2.2 并行通信数据在多条并行1位宽的传输线上同事传送

总线控制

包括判优控制和通信控制,总线控制器统一管理总线的一系列问题

1.判优控制由总线控制器按一定的优先等级顺序确定哪个设备能使用总线

1.1 链式查询总线同意信号BG串行地从一个IO接口送到下一个IO接口,若BG到达的IO接口有总线请求就不再往下传,该借口获得总线使用权并建立总线忙BS信号。离总线控制器近的设备有最高优先级,只需很少几根线就能实现总线控制,但对电路故障很敏感,且优先级低的设备很难获得请求。

1.2 计数器定时查询总线控制器接到BR送来的总线请求信号后,在总线未被使用的情况下(BS=0)内部的计数器开始计数,并通过设备地址线向各设备发出一组地址信号。当某个请求占用总线的设备地址与计数值一致时,便获得总线使用权。优先次序可以改变,但增加了控制线,控制较为复杂。

1.3 独立请求方式每台设备均有一对总线请求线和总线同意线,设备需要使用总线时便发出该设备请求信号,总线控制器内部有一排队电路,根据优先次序确定响应哪一台设备请求。响应速度快,优先次序控制灵活,但控制线数量多,总线控制更复杂。

选择题

移码主要用于浮点数中的阶码

运算器负责算数运算和逻辑运算

EPROM指光擦除可编程的只读存储器

变址寻址中操作数有效地址等于变址寄存器内容加上形式地址

若浮点数用补码表示,则判断运算结果是否为规格化数的方法是数符与尾数小数点后第一位数字相异为规格化数

外围设备指除了CPU和内存以外的其他设备

中断向量地址是中断服务例行程序入口地址的指示器

某计算机字长16位,存储容量是64KB,若按字编址,则寻址范围是32K

发生中断请求的条件之一是一条指令执行结束

机器周期通常采用主存中存取一个指令字的最短时间来规定

系统总线中控制线的功能是:提供主存、IO接口设备的控制信号和响应信号

一RAM芯片,容量为512*8位,包括电源和接地端,该芯片引出线最小数目是19

在微型机系统中,外围设备通过设备控制器与主板的系统总线相连接CPU中跟踪指令后继地址的寄存器是程序计数器

某寄存器中的值有时是地址,因此只有计算机的指令才能识别它

指令采用跳跃寻址方式可以实现程序的条件转移或无条件转移

单地址指令中为了完成两个数的算数运算,除地址码指明的一个操作书外,另一个数常采用隐含寻址方式

在集中式总线仲裁中,独立请求方式响应时间最快

硬布线控制器是一种由门电路和触发器构成的复杂树形网络所形成的逻辑电路

主机中能对指令进行译码的是:控制器

冯诺依曼机工作方式基本特点是:按地址访问并顺序执行指令

下面对总线的描述确切完备的概念是:两种信息源的代码不能在总线中同时传送

同步信号之所以比异步信号具有较高的传输频率是因为:同步通信用一个公共的时钟信号进行同步

有关Cache的说法正确的是:CPU内外都可设置cache

在下面描述PCI总线基本概念中,不正确的表述是:系统中允许只有一条PCI总线

总线中地址线的作用是:制定主存单元和IO设备接口电路的选择地址

存储周期是指:存储器进行连续写操作所允许的最短时间间隔

机器字长32位,其存储容量为4MB,若按字编址,其寻址范围是:0-1MW

在关中断状态下,不可响应的中断是:可屏蔽中断

在中断响应过程中,保护PC的作用是:使中断返回时能回到断点处继续原程序的执行

在独立请求方式下,若有几个设备,则:有几个总线请求信号和几个总线响应信号

填空题

CPU与主存,输入输出接口和系统总线合称为主机

在浮点补码加减运算中,当运算结果的尾数不是左规和右规形式时,需要进行规格化操作

由若干一位加法器构成多位加法器时,进位可采用串行进位法和并行进位法

计算机系统中的存储器分为内存和外存。在CPU执行程序时,将指令存放在IR中

指令的编码将指令分成操作码、地址码等字段

在微程序控制中,计算机执行一条指令的过程就是依次执行一个确定的微指令序列的过程

微指令执行时,产生后续微地址的方法主要有计数器方式、断定方式

一条机器指令的执行可以与一段微指令构成的微程序相对应。微指令可由一系列微命令组成

操作数的存储位置隐含在指令的操作码中,这种寻址方式是隐含寻址

存储器间接寻址方式指令给出的是操作数的有效地址所在的存储器地址,CPU需要访问内存单元才能获得操作数

微命令的编码表示法是把一组相斥性的微指令信号编码在一起

在寄存器之间建立数据通道的任务是由操作控制器来完成的

DMA操作方式主要通过单字节方式、连续方式、请求方式三种方式。操作类型:数据传送、数据校验、数据检索。

Cache是高速缓冲存储器(简称快存),是为了解决CPU和主存之间速度不匹配问题而设置的。

建立高速缓冲存储器理论依据是程序访问的局部性。

常用的地址映射方式有直接映射、全相联映射、组相联映射三种。

地址映射是用来确定虚和实之间的逻辑关系。

信息在总线上有三种传送方式有三种分别为:串行传送;并行传送;并串行传送。

对存储器的要求是容量大,速度快,成本低为了解决这三方面的矛盾,计算机采用多级存储和体系结构。

存储器的技术指标主要有存储容量、存取时间、存储周期和存储器带宽。 CPU能直接访问Cache和内存,但不能直接访问外存。

计算机存储系统一般指CPU内的寄存器、Cache、主存、外存、后备存储器等五个层次。

主存储器和CPU之间增加Cache的目的是解决CPU和主存之间的速度匹配问题。

存储周期是指为存储器进行连续读和写操作所允许的最短时间间隔。DRAM存储器的刷新一般有集中式、分散式和异步式三种方式,之所以刷新是因为有电荷泄露、需要定期补充。

虚拟存储器指的是主存-外存层次,它给用户提供了一个比实际空间大得多的虚拟地址空间。

存储程序并按地址顺序执行,这是冯诺依曼型计算机的工作原理。

层次化存储体系涉及到主存、辅存、Cache和寄存器,按存取时间由短至长的顺序是寄存器,Cache,主存,辅存。

静态存储单元是由晶体管构成的双稳态电路,保证记忆单元始终处于稳定状态,存储的信息不需要刷新(或恢复)。

三级存储器系统是指高缓、内存、外存。

在计算机系统中,地址总线的位数决定了内存储器最大的可寻址空间。数据总线的位数与它的工作频率的乘积正比于该总线最大的输入/输出

能力。

静态存储器是由晶体管构成的:双稳态电路,存储器的住处不需要:刷新

建立高速缓冲的理论依据是:程序访存的局部性原理

Cache是一种:SRAM存储器

DMA操作主要采用:停止CPU访问主存、周期挪用、DMA与CPU交替访问

判断题

8421码就是二进制√

只要运算器具有加法器和移位功能,再增加一些控制逻辑,计算机就能实现各种算数运算√

CPU访问存储器的时间是由存储器容量决定的,存储器容量越大访问

存储器就需的时间越长X

一般情况下,ROM和RAM在存储体中是统一编址的√

扩展操作码是一种优化技术,它使操作码的长度随地址码和减少而增加,不同地址的指令可以具有不同长度的操作码√

RISC的主要设计目标是减少指令书,降低软硬件开销√

与微程序控制器相比,组合逻辑控制器的速度较快√

在CPU中,译码器主要用在运算器中挑选多路输入数据中的某一路数

据送到ALU X

组成总线不仅要有传输信息的传输线,还应有实现总线传输控制的器件,既总线缓冲器和总线控制器√

所有的数据传送方式都必须由CPU控制实现X

CPU在响应中断后可以立即响应更高优先级的中断请求X

为了保证中断服务程序执行完毕以后,能正确返回到被中断的断点继续执行程序,必须进行现场保存操作√

控制存储器是用来存放微程序的存储器,它应该比主存储器速度快√

DMA设备的中断级别比其他外设高,否则可能引起数据丢失√

决定计算机计算精度的主要技术指标一般是指计算机的字长。√

计算机“运算速度”指标的含义是指每秒钟能执行多少条操作系统的命令。X。“运算速度”指标的含义是指每秒钟能执行多少条指令。

利用大规模集成电路技术把计算机的运算部件和控制部件做在一块集

成电路芯片上,这样的一块芯片叫做单片机。X。计算机的运算部件和控制部件做在一块集成电路芯片上,这样的一块芯片叫做微处理器。

某R进位计数制,其左边1位的权是其相邻的右边1位的权的R倍。√

在计算机中,所表示的数有时会发生溢出,其根本原因是计算机的字长有限。√

流水线中相关总是指在一段程序的相邻指令之间存在某种关系,这种关系影响指令的并行执行√

对一个并行寄存器来说只要始终脉冲到来,便可以从输出端同时输出各位数据X

DMA控制器和CPU可以同时使用总线X

在浮点运算起中阶码部件可实现加减乘除四则运算X 只有加减

中断屏蔽技术是用中断屏蔽寄存器对中断请求线进行屏蔽控制,因此只有多级中断系统才能采用中断屏蔽技术X

(全部正确描述)

在微型计算机广阔领域中,会计电算化属于计算机数据处理方面的应用。

计算机的内存储器是由RAM和ROM两种半导体存储器组成。

使用微机的过程中突然断电,RAM的保存信息会丢失ROM的保存信息不受影响。

半导体ROM是一种非易失性存储器。

一般情况下,RAM和ROM在存储体中是统一编制的。

静态RAM和动态RAM是一种易失性存储器。

Cache的功能全部由硬件实现。

Cache和主存统一编制,即在空间的某一部分属于Cache。错Cache 中字块保存的是主存中相应字块的副本,Cache是一种缓冲,而不是与主存处于同等地位的存储器,故不需要占用主存空间。

DMA控制器和CPU不能同时使用总线。

CPU响应DMA请求后CPU内部寄存器的内容不会被破坏。

决定计算机计算精度的主要技术指标是计算机的字长。

三态门是靠允许/禁止输出端上加入逻辑1或者逻辑0和高阻抗状态。大多数微型计算机的总线由地址总线数据总线控制总线完成。

对外设的统一编制是给每一个外设至少设置一个地址码。

外部设备中断不能立刻得到CPU的响应。

计算机运算速度的重要指标,是每秒执行多少条指令。

DMA只能是用于主存与外设之间数据交换方式。

一个更高优先级的中断请求不一定中断另一个中断处理程序的执行。(当Cpu处于关中断状态或者更高级的中断源被屏蔽,不能中断)

一个通道可以连接多个控制器,而一个控制器又可以连接若干台同类型

的外部设备。

组成总线不仅要有传输信息的传输线,还应有实现总线传输控制的器件,即总线缓冲器和总线控制器。

大多数微型机的总线由地址总线、数据总线、控制总线组成,因此,他们是三总线类型。(他们三者是指总线的类型,不是指总线的结构)。磁带存储器是纪录数字信号的设备。不是模拟信号的设备。

输入输出设备的寻址方式是统一编制和独立编制。

DMA设备的中断级别比其他外设高,否则可能引起数据丢失。

一旦中断请求出现,CPU必须执行完当前指令后,才可以转去受理中断。在各种数据磁记录方式,改进是调频制的记录密度最高。

键盘属于输入设备,但显示器上显示的内容既有机器的输出结果,又有用户通过键盘输

入的内容,所以显示器既是输入设备,又是输出设备

答案:错,显示器无论是输出机器的结果还是输出键盘输入的内容均是向用户输出信息,所以显示器应属于输出设备

在多重中断系统中,cpu响应中断后可以立即响应更高优先级的中断请求。

(在保护断点和现场和开中断之前不会立即响应)

28、中断级别最高的不一定是不可屏蔽中断。(与设计有关8086/8088内部中断就高)

为了保证中断服务程序执行后能正确的返回到被中断的程序断点处继

续执行程序,必须现场保护。

Cpu响应中断时暂停当前程序的运行,自动转去执行中断服务程序。关中断是允许中断触发器EINT=0,CPU不允许响应任何中断。

CPU响应中断后不是由用户通过关中断指令置0允许中断触发器,而是由硬件(中断隐指令)自动完成。

中断方式一般适合随机出现的服务。

CPU访问存储器的时间是由存储体的容量决定的,存储容量越大,访问存储器所需的时间越长。(主存是随机存储器,访问时间一样与容量无关)

简答题

P93 字、位扩展

冯诺依曼计算机的主要设计思想是什么,它包括哪些组成部分?

答: 冯诺依曼型计算机的主要设计思想是:采用存储程序的方式,编好的程序和数据存放在同一个存储器中,计算机可以在无人干预的情况下自动完成逐条取出指令和执行指令的任务;在机器内部,指令和数据均采用二进制码表示,指令在存储器中按顺序存放。其主要组成部分有:运算器、控制器、存储器、输入输出设备,以及总线。

存储器系统的层次结构可以解决那些问题,实现存储结构的先决条件是什么,用什么度量?

答:存储器层次结构可以提高计算机存储系统的性能价格比,即在速度方面接近最高级的存储器,在容量和价格方面接近最低级的存储器。实现存储器层次结构的先决条件是程序局部性,即存储器访问的局部性是实现存储器层次结构的基础。其度量方法主要是存储系统的命中率,由高级存储器向低级存储器访问数据时,能够得到数据的概率。

为什么要有cache?

主存把CPU要访问的信息提前送到缓存,避免CPU与IO设备争抢访存,减少空等提高效率;解决CPU与主存速度不匹配的问题

主存与Cache之间为什么要建立地址映射,请简述三中不同的地址映射?

与主存容量相比,Cache的容量很小,它保存的内容只是主存内容的一个子集。为了把主存块放到Cache中,必须应用某种方法把主存地址定位到Cache中,称作地址映射。

地址映射方式有全相联方式、直接方式和组相联方式三种:

全相联映射方式:将主存的一个块映射到Cache中的任意一块上。

直接映射方式:一个主存块只能映射到Cache的一个特定位置上去。组相联映射方式:将Cache分成u组,每组v块,主存块存放到哪个组是固定的,至于存到该组哪一块则是任意的。主存地址格式P120

CPU对DAM请求和中断请求的响应时间是否一样,为什么?

答:响应时间不同。CPU响应DMA方式在指令周期的任一存取周期结束时,响应中断在指令执行结束时。原因:采用DMA方式交换数据,数据输入输出的速度很快,CPU必须以更短的时间查询和响应,否则数据丢失。

DMA交换数据三种方法:停止CPU访问主存、周期挪用、DMA与CPU 交替访问

工作过程:预处理、数据传送、后处理

DMA与中断方式比较:

1.从数据传送看,中断靠程序传送,DMA靠硬件传送

2.中断有处理异常的能力,DMA没有,主要用于大批数据的传送

3.从CPU响应时间看,中断在一条指令执行结束时响应,DMA可在指令周期内任一存取周期结束时响应

4.中断方式中断现行程序需保护现场,DMA不用

5.DMA优先级比中断高

为什么要把存储系统细分为若干个级别,目前微机的存储系统主要有哪几级存储器,是如何分工的?

答:为了解决存储容量、存取速度和价格之间的矛盾,通常把各种不同存储容量、不同存取速度的存储器按一定的体系结构组织起来,形成一个统一整体的存储系统。目前微机中最常见的是三级存储系统。

主存储器可由CPU直接访问,存取速度快但存取容量小,一般用来存放当前正在执行的程序和数据。

辅助存储器设置在主机外部,它的存储容量大,价格较低,但存取速度较慢,一般用来存放暂时不参与运行的程序和数据,CPU不能直接访

问辅助存储器。

当CPU速度很高时,为了使访问存储器的速度能与CPU的速度匹配,又在主存和CPU之间增设了一级cache,它的读写速度比主存更快,

但容量更小,用于存放当前正在执行的程序中的活跃部分的副本,以便快速的向CPU提供指令和数据。

三级存储系统最终的效果是:速度接近于cache的速度,容量是辅存的容量,每位的价格接近于辅存。

计算机存储系统分为哪几个层次?每一层采用的存储介质主要是什么?其存储容量和存取速度的相对关系如何?

缓存-主存、主存-辅存

数据总线在一个总线周期中并行传送64位数据,总线时钟频率是

100MHZ,总线宽度是多少?64/8=8B 8Bx100MHZ=800MB/s

CPU包括哪几个工作周期,每个周期分别的作用是什么?

答:CPU包括取指、间址、执行、中断四个工作周期

取指周期作用:取出指令并将其存放在IR寄存器中

间址周期作用:完成取操作数有效地址的任务

执行周期作用:根据不同的指令完成不同的微操作

中断周期作用:在执行周期结束后,CPU要查询是否有请求中断的事件发生,若有则转入中断周期。在中断周期,由中断隐指令自动完成保护断点、寻找中断服务程序入口地址以及硬件关中断的操作。

完整的总线传输周期包括哪几个阶段?简要叙述每个阶段的工作。(P59)①申请分配阶段:由需要使用各总线的主模块(或主设备)提出申请,经总线仲裁机构决定下一传输周期的总线使用权授于某一申请者。(主模块申请,总线仲裁决定)

②寻址阶段:取得了使用权的主模块通过总线发出本次要访问的从模块(或从设备)的地址及有关命令,启动参与本次传输的从模块。(主模块向从模块给出地址和命令)

③传数阶段:主模块和从模块进行数据交换,数据由源模块发出,经数据总线流入目的模块。(主模块和从模块交换数据)

④结束阶段:主模块的有关信息均从系统总线上撤除,让出总线使用权。(主模块撤消有关信息 )

请简述Cache的基本工作过程。

答:当CPU读取主存中一个字时,便发出此字的内存地址到Cache

和主存。此时Cache控制逻辑依据地址判断此字当前是否在 Cache中:若是,此字立即传送给CPU;若非,则用主存读周期把此字从主存读出送到CPU,与此同时,把含有这个字的整个数据块从主存读出送到Cache

中。

什么叫刷新?为什么要刷新?说明刷新有几种方法?

答:刷新:对DRAM定期进行的全部重写过程;刷新原因:因电容泄漏而引起的DRAM所存信息的衰减需要及时补充,因此安排了定期刷新操作;

常用的刷新方法有三种:集中式、分散式、异步式。集中式:在最大刷新间隔时间内,集中安排一段时间进行刷新,存在CPU访存死时间。分散式:在每个读/写周期之后插入一个刷新周期,无CPU访存死时间。异步式:是集中式和分散式的折衷。

采用总线结构有何优点?

减少线路数目、高效快速的传输数据、可实现多个设备同时接受信息、简化系统结构、简化硬件设计

中断的过程:

中断请求、中断判优、中断响应、中断服务、中断返回

中断服务程序的入口地址称作中断向量

直接映射:主存字快标记-Cache字块地址-字块内地址

全相联映射:主存字块标记-字块内地址

组相联映射:主存字块标记-组地址-组内地址-字块内地址

1.RR型指令——两个操作数均来自寄存器的指令。不需要访问存储器,所

以操作时花的时间最短

2.SS型指令——两个操作数均来自内存的指令。种指令虽访问存储器,但

不需要进行地址变换运算,所以节省了求有效地址运算的时间开销

3.RS型指令——操作数分别来自寄存器和内存的指令,需要访问存储器,

同时要通过变址运算或基值运算变换求得有效地址,也需要时间。所以操作时花的时间最长

4.程序控制指令:

功能:控制程序的转向

包括:无条件转移,条件转移,转子和返主,中断返回等指令

寻址特征位都为M,根据寻址方式确定位数OP-M-A

算逻指令-RR

取数存数-RS

相对转移-一地址格式

《计算机组成原理》练习题

《微机组成原理》练习题 第一章计算机系统概论 一、选择题 1、冯.诺依曼机工作方式的基本特点是() A.多指令流单数据流B.按地址访问并顺序执行指令 C.堆栈操作D.存储器按内容选择地址 2、电子计算机的算术/逻辑单元、控制单元及主存储器合称为() A、CPU B、ALU C、主机 D、CU 3、完整的计算机系统应包括() A、运算器、存储器、控制器 B、外部设备和主机 C、主机和实用程序 D、配套的硬件设备和软件系统 4、计算机系统中的存储系统是指() A、RAM存储器 B、ROM存储器 C、主存 D、主存和辅存 5、用以指定待执行指令所在地址的是() A、指令寄存器 B、数据计数器 C、程序计数器 D、累加器 6、微型计算机的发展以()技术为标志。 A.操作系统B.微处理器C.磁盘D.软件 7、存储单元是指() A.存放在一个字节的所有存储元集合B.存放一个存储字的所有存储元集合 C.存放一个二进制信息位的存储元集合D.存放一条指令的存储元集合 8、存储字长是指() A.存放在一个存储单元中的二进制代码组合B.存放在一个存储单元中的二进制代码位数C.存储单元的个数D.机器指令的位数 9、存放欲执行指令的寄存器是() A.MAR B.PC C.MDR D.IR 10、在CPU中跟踪指令后继地址的寄存器是() A.MAR B.PC C.MDR D.IR 二、填空题 1、()和()都存放在存储器中,()能自动识别它们。 2、存储器可分为主存和(),程序必须存于()内,CPU才能执行其中的指令。 3、存储器的容量可以用KB、MB、GB表示,它们分别代表()、()、()。 4、计算机硬件的主要技术指标包括()、()、()。 5、在用户编程所用的各种语言中,与计算机本身最为密切的语言是()。 6、汇编语言是一种面向()的语言,对()依赖性强,用汇编语言编制的程序执行速度比高级 语言()。 7、有些计算机将一部分软件永恒地存于只读存储器中,称为()。 8、基于()原理的()计算机工作方式的基本特点是按地址访问并顺序执行指令。 三、简答题 1、冯.诺依曼计算机体系结构的基本思想是什么?按此思想设计的计算机硬件系统由哪些部件组成?

计算机组成原理期末试题

第一章计算机系统概论 计算机的硬件是由有形的电子器件等构成的,它包括运算器、存储器、控制器、适配器、输入输出设备。早起将运算器和控制器合在一起称为CPU(中央处理器)。目前的CPU包含了存储器,因此称为中央处理器。存储程序并按地址顺序执行,这是冯·诺依曼型计算机的工作原理,也是CPU自动工作的关键。 计算机系统是一个有硬件、软件组成的多级层次结构,它通常由微程序级、一般程序级、操作系统级、汇编语言级、高级语言级组成,每一级上都能进行程序设计,且得到下面各级的支持。 习题:4冯·诺依曼型计算机的主要设计思想是什么?它包括那些主要组成部分? 主要设计思想是:存储程序通用电子计算机方案,主要组成部分有:运算器、逻辑控制装置、存储器、输入和输出设备 5什么是存储容量?什么是单元地址?什么是数据字?什么是指令字? 存储器所有存储单元的总数称为存储器的存储容量。每个存储单元都有编号,称为单元地址。如果某字代表要处理的数据,称为数据字。如果某字为一条指令,称为指令字 7指令和数据均存放在内存中,计算机如何区分它们是指令还是数据? 每一个基本操作称为一条指令,而解算某一问题的一串指令序列,称为程序 第二章运算方法和运算器 按 对阶操作。

直接使用西文标准键盘输入汉字,进行处理,并显示打印汉字,是一项重大成就。为此要解决汉字的输入编码、汉字内码、子模码等三种不同用途的编码。 1第三章 内部存储器 CPU 能直接访问内存(cache 、主 存) 双端口存储器和多模块交叉存储器属于并行存储器结构。 cache 是一种高速缓冲存储器,是为了解决CPU 和主存之间速度不匹配而采用的一项重要的硬件技术,并且发展为多级cache 体系,指令cache 与数据cache 分设体 系。要求cache 的命中率接近于1 适度地兼顾了二者的优点又尽量避免其缺点,从灵活性、命中率、硬件投资来说较为理想,因而得到了普遍采用。 习题: 1设有一个具有20位地址和32位字长的存储器,问: (1)该存储器能存储多少个字节的信息? (2)如果存储器由512K ×8位SRAM 芯片组成,需要多少片; (3)需要多少位地址做芯片选择? (1)字节M 4832*220= (2)片84*28 *51232*1024==K K (3)1位地址作芯片选择 2 已知某64位机主存采用半导体存储器,其地址码为26位,若使用4M ×8位DRAM 芯片组成该机所允许的最大主存空间,并选用内存条结构形式,问: (1) 若每个内存条16M ×64位,共需几个内存条? (2)每个内存条共有多少DRAM 芯片? (3)主存共需多少DRAM 芯片?CPU 如何选

(完整版)计算机组成原理简答题

计算机组成原理简答题 第四章 1、存储器的层次结构主要体现在什么地方?为什么要分这些层次?计算机如何管理这些层次? 答:存储器的层次结构主要体现在Cache-主存和主存-辅存这两个存储层次上。 Cache-主存层次在存储系统中主要对CPU访存起加速作用,即从整体运行的效果分析,CPU 访存速度加快,接近于Cache的速度,而寻址空间和位价却接近于主存。 主存-辅存层次在存储系统中主要起扩容作用,即从程序员的角度看,他所使用的存储器其容量和位价接近于辅存,而速度接近于主存。 综合上述两个存储层次的作用,从整个存储系统来看,就达到了速度快、容量大、位价低的优化效果。 主存与CACHE之间的信息调度功能全部由硬件自动完成。而主存与辅存层次的调度目前广泛采用虚拟存储技术实现,即将主存与辅存的一部分通过软硬结合的技术组成虚拟存储器,程序员可使用这个比主存实际空间(物理地址空间)大得多的虚拟地址空间(逻辑地址空间)编程,当程序运行时,再由软、硬件自动配合完成虚拟地址空间与主存实际物理空间的转换。因此,这两个层次上的调度或转换操作对于程序员来说都是透明的。 2. 说明存取周期和存取时间的区别。 解:存取周期和存取时间的主要区别是:存取时间仅为完成一次操作的时间,而存取周期不仅包含操作时间,还包含操作后线路的恢复时间。即: 存取周期 = 存取时间 + 恢复时间 3. 什么叫刷新?为什么要刷新?说明刷新有几种方法。 解:刷新:对DRAM定期进行的全部重写过程; 刷新原因:因电容泄漏而引起的DRAM所存信息的衰减需要及时补充,因此安排了定期刷新操作; 常用的刷新方法有三种:集中式、分散式、异步式。 集中式:在最大刷新间隔时间内,集中安排一段时间进行刷新,存在CPU访存死时间。 分散式:在每个读/写周期之后插入一个刷新周期,无CPU访存死时间。 异步式:是集中式和分散式的折衷。 4. 半导体存储器芯片的译码驱动方式有几种? 解:半导体存储器芯片的译码驱动方式有两种:线选法和重合法。 线选法:地址译码信号只选中同一个字的所有位,结构简单,费器材; 重合法:地址分行、列两部分译码,行、列译码线的交叉点即为所选单元。这种方法通过行、列译码信号的重合来选址,也称矩阵译码。可大大节省器材用量,是最常用的译码驱动方式。 5. 什么是“程序访问的局部性”?存储系统中哪一级采用了程序访问的局部性原理? 解:程序运行的局部性原理指:在一小段时间内,最近被访问过的程序和数据很可能再次被访问;在空间上,这些被访问的程序和数据往往集中在一小片存储区;在访问顺序上,指令顺序执行比转移执行的可能性大 (大约 5:1 )。存储系统中Cache—主存层次采用了程序访问的局部性原理。 6. Cache做在CPU芯片内有什么好处?将指令Cache和数据Cache分开又有什么好处? 答:Cache做在CPU芯片内主要有下面几个好处:

《计算机组成原理》练习题

《计算机组成原理》练习题 第一章概论 一、选择题 01. 电子计算机主存内的ROM是指。 A.不能改变其内的数据 B.只能读出数据,不能写入数据 C.通常用来存储系统程序 D.以上都是 02. 有些计算机将一部分软件永恒地存于只读存储器中,称之为。 A.硬件 B.软件 C. 固件 D.辅助存储 03. 如果要处理速度、温度、电压等连续性数据可以使用。 A.数字计算机 B.模拟计算机 C.混合计算机 D.特殊用途计算机 04. 邮局把信件进行自动分拣,使用的计算机技术是。 A.机器翻译 B.自然语言理解 C.模式识别 D.过程控制 05. 冯.诺伊曼机工作方式的基本特点是。 A.多指令流单数据流 B.按地址访问并顺序执行指令 C.堆栈操作 D.存储器按内容选择地址。 06. 某寄存器中的值可能是操作数,也可能是地址,只有计算机的才能识别它。 A.译码器 B.判断程序 C.指令 D.时序信号。 07. 80年代以来,许多国家开始研究第五代计算机,这种计算机系统是。 A.超高速巨型计算机系统 B.知识信息处理系统 C.大型分布式计算机系统 D.超级微型计算机群组成的计算机网。 08. 计算机的算逻单元的控制单元合称为。 A.ALU B.UP C.CPU D.CAD 09. 磁盘驱动器读写数据的基本存取单位为。 A.比特 B.字节 C.磁道 D.扇区 二、填空题 01. 计算机硬件是指, 软件是指, 固件是指。 02. 数控机床是计算机在方面的应用。 03. 人工智能研究, 模式识别研究。

04. 计算机用来处理离散的数据,而计算机用来处理连续性的数据。 05.存储器可分为主存和,程序必须存于内,CPU才能执行其中的指令。 第二章计算机中的信息编码 一、选择题 01. 对真值0表示形式唯一的机器数是。 A.原码 B.补码和移码 C.补码 D.反码 02. 在整数定点机中,下述第说法正确。 A.原码和反码不能表示-1,补码可以表示-1。 B.三种机器数均可表示-1 C.三种机器数均可表示-1,且三种机器数的表示范围相同。 D.以上说法均不对。 03. 在小数定点机中,下述第说法正确。 A.只有补码能表示-1 B.只有原码能表示-1 C.三种机器数均不能表示-1 D.以上说法均不对 04.设X为真值,X*为其绝对值,则等式[-X*]补=[-X]补。 A.成立 B.不成立 05.设X为真值,X*为其绝对值,满足[-X*]补=[-X]补的条件是。 A.X任意 B.X为正数 C.X为负数 D.X为非负数 06.设寄存器内容为11111111,若它等于-0,则为 A.原码 B.补码 C.反码 D.移码 二、填空题 01.采用浮点表示时,若尾数为规格化形式,则浮点数的表示范围取决于的位数,精度取决于的位数,确定浮点数的正负。 02.一个浮点数,当其尾数右移时,欲使其值不变,阶码必须。尾数右移1 位,阶码。 03.一个浮点数,确定了小数点的位置,当其尾数左移时,欲使其值不变,必须使。 04.移码常用来表示浮点数的部分,移码和补码除符号位外,其他

(完整版)计算机组成原理期末考试试题及答案

计算机组成原理试题 一、选择题(共20分,每题1分) 1.零地址运算指令在指令格式中不给出操作数地址,它的操作数来自____C__。 A.立即数和栈顶; B.暂存器; C.栈顶和次栈顶; D.累加器。 2.___C___可区分存储单元中存放的是指令还是数据。 A.存储器; B.运算器; C.控制器; D.用户。 3.所谓三总线结构的计算机是指_B_____。 A.地址线、数据线和控制线三组传输线。 B.I/O总线、主存总统和DMA总线三组传输线; C.I/O总线、主存总线和系统总线三组传输线; D.设备总线、主存总线和控制总线三组传输线.。 4.某计算机字长是32位,它的存储容量是256KB,按字编址,它的寻址范围是_____B_。 A.128K; B.64K; C.64KB; D.128KB。 5.主机与设备传送数据时,采用___A___,主机与设备是串行工作的。 A.程序查询方式; B.中断方式; C.DMA方式; D.通道。 6.在整数定点机中,下述第___B___种说法是正确的。 A.原码和反码不能表示-1,补码可以表示-1; B.三种机器数均可表示-1; C.三种机器数均可表示-1,且三种机器数的表示范围相同; D.三种机器数均不可表示-1。 7.变址寻址方式中,操作数的有效地址是___C___。 A.基址寄存器内容加上形式地址(位移量); B.程序计数器内容加上形式地址; C.变址寄存器内容加上形式地址; D.以上都不对。 8.向量中断是___C___。 A.外设提出中断; B.由硬件形成中断服务程序入口地址; C.由硬件形成向量地址,再由向量地址找到中断服务程序入口地址

计算机组成原理简答题

.简述计算机系统 计算机系统是由硬件、软件组成的多级层次结构。 计算机硬件是由有形的电子器件等构成的,它包括运算器、存储器、控制器、适配器、输入输出设备。传统上将运算器和控制器称为CPU,而将CPU和存储器称为主机。 计算机软件是计算机系统结构的重要组成部分,也是计算机不同于一般电子设备的本质所在。计算机软件一般分为系统程序和应用程序两大类。系统程序用来简化程序设计,简化使用方法,提高计算机的使用效率,发挥和扩大计算机的功能和用途,它包括:()各种服务程序,()语言类程序,()操作系统,()数据库管理系统。应用程序是针对某一应用课题领域开发的软件。 .冯·诺依曼型计算机设计思想、主要特点。 计算机由运算器、控制器、存储器、输入和输出设备五部分组成。 数据以二进制码表示。 采用存储程序的方式,程序和数据放在同一个存储器中并按地址顺序执行。 机器以运算器为中心,输入输出设备与存储器间的数据传送都通过运算器。 已知和,用变形补码计算,同时指出运算结 果是否溢出。 () () 解:() [x]补=,[y]补= [x]补 +[y]补 两个符号位出现“”,表示无溢出 []补, () [x]补=,[y]补= [x]补 +[y]补 两个符号位出现“”,表示有正溢出。 .已知和,用变形补码计算,同时指出运算结果是否溢出。 ()() ()[x]补=,[y]补=, [y]补= [x]补 +[y]补 两个符号位出现“”,表示有正溢出。 ()[x]补=,[y]补=, [y]补= [x]补 +[y]补

两个符号位出现“”,表示无溢出 []补, . 简要说明存储器层次结构、采用层次结构的目的,说明每一层次的存储器所用的存储介质的特性。 计算机存储系统中,一般分为高速缓冲存储器、主存储器和辅助存储器三个层次。 采用层次模型的目标是为了解决对存储器要求容量大,速度快,成本低三者之间的矛盾,即在合理的成本范围内,通过对各级存储器的容量配置,达到可接受的性能。 高速缓冲存储器:即,它一般用的是,其特点是速度快、价格高。 主存储器:一般是,其速度相对快,价格居中。 辅助存储器:一般是硬盘,可以断电后保存数据,容量大,但速度慢。 . 比较和的主要特性,用其组成系统时,从设计和使用角度看两 者有何区别。 ()和的主要性能 区别 特性静态存储器动态存储器 存储信息触发器电容 破坏性读出非是 需要刷新不要需要 送行列地址同时送分两次送 运行速度快慢 集成度低高 发热量大小 存储成本高低 ()器件的特点是速度快、不用刷新,但集成度不高,价格贵。它一般用于做高速缓存。 器件的特点是相对廉价和大容量,但须定时刷新。它一般用于做主存储器。 . 一个具有位地址和位字长的存储器,问: .该存储器能够存储多少字节的信息? .如果存储器由位的芯片组成,需要多少片? .需要多少位作芯片选择? .存储单元数为=, 故能存储个字节的信息。 所需芯片数为( )()=片 (地址线位),(地址线位) 片位组成位,地址总线的低位可以直接连到芯片的管脚 组位组成位,地址总线的高两位(,)需要通过:线译码器进行芯片选择 . 设有一个具有位地址和位字长的存储器,问: ()该存储器能够存储多少个字节的信息? ()如果存储器由位的芯片组成,需要

计算机组成原理练习题-答案

一、填空题 1.对存储器的要求是速度快,_容量大_____,_价位低_____。为了解决这方面的矛盾,计算机采用多级存储体系结构。 2.指令系统是表征一台计算机__性能__的重要因素,它的____格式__和___功能___不仅直接影响到机器的硬件结构而且也影响到系统软件。 3.CPU中至少有如下六类寄存器__指令____寄存器,__程序_计数器,_地址__寄存器,通用寄存器,状态条件寄存器,缓冲寄存器。 4.完成一条指令一般分为取指周期和执行周期,前者完成取指令和分析指令操作,后者完成执行指令操作。 5.常见的数据传送类指令的功能可实现寄存器和寄存器之间,或寄存器和存储器之间的数据传送。 6.微指令格式可分为垂直型和水平型两类,其中垂直型微指令用较长的微程序结构换取较短的微指令结构。 7.对于一条隐含寻址的算术运算指令,其指令字中不明确给出操作数的地址,其中一个操作数通常隐含在累加器中 8.设浮点数阶码为8位(含1位阶符),尾数为24位(含1位数符),则32位二进制补码浮点规格化数对应的十进制真值范围是:最大正数为 2^127(1-2^-23) ,最小正数为 2^-129 ,最大负数为 2^-128(-2^-1-2^-23) ,最小负数为 -2^127 。 9.某小数定点机,字长8位(含1位符号位),当机器数分别采用原码、补码和反码时,其对应的真值范围分别是 -127/128 ~+127/128 -1 ~+127/128 -127/128 ~+127/128 (均用十进制表示)。 10.在DMA方式中,CPU和DMA控制器通常采用三种方法来分时使用主存,它们是停止CPU访问主存、周期挪用和DMA和CPU交替访问主存。 11.设 n = 8 (不包括符号位),则原码一位乘需做 8 次移位和最多 8 次加法,补码Booth算法需做 8 次移位和最多 9 次加法。 12.设浮点数阶码为8位(含1位阶符),尾数为24位(含1位数符),则32位二进制补码浮点规格化数对应的十进制真值范围是:最大正数为,最小正数为,最大负数为,最小负数为。 13.一个总线传输周期包括申请分配阶段、寻址阶段、传输阶段和结束阶段四个阶段。 14.CPU采用同步控制方式时,控制器使用机器周期和节拍组成的多极时序系统。

计算机组成原理期末考试

计算机组成原理试题 一、选择题 ( c )1、在下列四句话中,最能准确反映计算机主要功能的是下面哪项。 A.计算机可以存储大量信息 B.计算机能代替人的脑力劳动 C.计算机是一种信息处理机 D.计算机可实现高速运算 ( c )2、计算机硬件能直接执行的只能是下面哪项。 A.符号语言 B.汇编语言 C.机器语言 D.机器语言和汇编语言 ( c )3、运算器的核心部件是下面哪项。 A.数据总线 B.数据选择器 C.算术逻辑运算部件 D.累加寄存器 ( c )4、对于存储器主要作用,下面哪项说法正确。 A.存放程序 B.存放数据 C.存放程序和数据 D.存放微程序 ( c )5、至今为止,计算机中所含所有信息仍以二进制方式表示,其原因是下面哪项。 A.节约元件 B.运算速度快 C.物理器件性能决定 D.信息处理方便( a )6、CPU中有若干寄存器,其中存放存储器中数据的寄存器是下面哪项。 A.地址寄存器 B.程序计数器 C.数据寄存器 D.指令寄存器(d?)7、CPU中有若干寄存器,其中存放机器指令的寄存器是下面哪项。 A.地址寄存器 B.程序计数器 C.指令寄存器 D.数据寄存器 ( c )8、CPU中有若干寄存器,存放CPU将要执行的下一条指令地址的寄存器是下面哪项。 A.地址寄存器 B.数据寄存器 C.程序计数器 D.指令寄存器 (c)9、CPU中程序状态寄存器中的各个状态标志位是依据下面哪项来置位的。 A.CPU已执行的指令 B.CPU将要执行的指令 C.算术逻辑部件上次的运算结果 D.累加器中的数据 ( b )10、为协调计算机各部件的工作,需要下面哪项来提供统一的时钟。 A.总线缓冲器 B.时钟发生器 C.总线控制器 D.操作命令发生器 ( c )11、下列各种数制的数中最小的数是下面哪项。 A.(101001)2 B.(52)8 C.(101001)BCD D.(233)H ( d )12、下列各种数制的数中最大的数是下面哪项。 A.(1001011)2 B.75 C.(112)8 D.(4F)H ( b )13、将十进制数15/2表示成二进制浮点规格化数(阶符1位,阶码2位,数符1位,尾数4位)是下面哪项。 A.01101110 B.01101111 C.01111111 D.11111111

计算机组成原理期末考试试题及答案

计算机组成原理期末考试试题及答案 文稿归稿存档编号:[KKUY-KKIO69-OTM243-OLUI129-G00I-FDQS58-

计算机组成原理试题 一、选择题(共20分,每题1分) 1.零地址运算指令在指令格式中不给出操作数地址,它的操作数来自______。 A.立即数和栈顶; B.暂存器; C.栈顶和次栈顶; D.累加器。 2.______可区分存储单元中存放的是指令还是数据。 A.存储器; B.运算器; C.控制器; D.用户。 3.所谓三总线结构的计算机是指______。 A.地址线、数据线和控制线三组传输线。 B.I/O总线、主存总统和DMA总线三组传输线; C.I/O总线、主存总线和系统总线三组传输线; D.设备总线、主存总线和控制总线三组传输线.。 4.某计算机字长是32位,它的存储容量是256KB,按字编址,它的寻址范围是______。 A.128K; B.64K; C.64KB; D.128KB。 5.主机与设备传送数据时,采用______,主机与设备是串行工作的。 A.程序查询方式; B.中断方式; C.DMA方式; D.通道。 6.在整数定点机中,下述第______种说法是正确的。

A.原码和反码不能表示-1,补码可以表示-1; B.三种机器数均可表示-1; C.三种机器数均可表示-1,且三种机器数的表示范围相 同; D.三种机器数均不可表示-1。 7.变址寻址方式中,操作数的有效地址是______。 A.基址寄存器内容加上形式地址(位移量); B.程序计数器内容加上形式地址; C.变址寄存器内容加上形式地址; D.以上都不对。 8.向量中断是______。 A.外设提出中断; B.由硬件形成中断服务程序入口地址; C.由硬件形成向量地址,再由向量地址找到中断服务程序入口地址 D.以上都不对。 9.一个节拍信号的宽度是指______。 A.指令周期; B.机器周期; C.时钟周期; D.存储周期。 10.将微程序存储在EPROM中的控制器是______控制器。 A.静态微程序; B.毫微程序; C.动态微程序; D.微程序。 11.隐指令是指______。 A.操作数隐含在操作码中的指令; B.在一个机器周期里完成全部操作的指令; C.指令系统中已有的指令;

计算机组成原理简答题

1 说明计算机系统的层次结构。 计算机系统可分为:微程序机器级,一般机器级(或称机器语言级),操作系统级,汇编语言级,高级语言级。 3 请说明SRAM的组成结构,与SRAM相比,DRAM在电路组成上有什么不同之处? SRAM存储器由存储体、读写电路、地址译码电路、控制电路组成,DRAM还需要有动态刷新电路。 4 请说明程序查询方式与中断方式各自的特点。 程序查询方式,数据在CPU和外围设备之间的传送完全靠计算机程序控制,优点是硬件结构比较简单,缺点是CPU效率低,中断方式是外围设备用来“主动”通知CPU,准备输入输出的一种方法,它节省了CPU时间,但硬件结构相对复杂一些。 5 指令和数据均存放在内存中,计算机如何从时间和空间上区分它们是指令还是数据。 时间上讲,取指令事件发生在“取指周期”,取数据事件发生在“执行周期”。从空间上讲,从内存读出的指令流流向控制器(指令寄存器)。从内存读出的数据流流向运算器(通用寄存器)。 6 什么是指令周期?什么是机器周期?什么是时钟周期?三者之间的关系如何? 指令周期是完成一条指令所需的时间。包括取指令、分析指令和执行指令所需的全部时间。机器周期也称为CPU周期,是指被确定为指令执行过程中的归一化基准时间,通常等于取指时间(或访存时间)。 时钟周期是时钟频率的倒数,也可称为节拍脉冲或T周期,是处理操作的最基本单位。一个指令周期由若干个机器周期组成,每个机器周期又由若干个时钟周期组成。 7 简要描述外设进行DMA操作的过程及DMA方式的主要优点。 (1)外设发出DMA请求; (2)CPU响应请求,DMA控制器从CPU接管总线的控制; (3)由DMA控制器执行数据传送操作; (4)向CPU报告DMA操作结束。 主要优点是数据数据速度快 8 在寄存器—寄存器型,寄存器—存储器型和存储器—存储器型三类指令中,哪类指令的执行时间最长? 哪类指令的执行时间最短?为什么? 寄存器-寄存器型执行速度最快,存储器-存储器型执行速度最慢。因为前者操作数在寄存器中,后者操作数在存储器中,而访问一次存储器所需的时间一般比访问一次寄存器所需时间长。 9 说明计数器定时查询工作原理。 计数器定时查询方式工作原理:总线上的任一设备要求使用总线时,通过BR线发出总线请求。总线控制器接到请求信号以后,在BS线为“0”的情况下让计数器开始计数,计数值通过一组地址线发向各设备。每个设备接口都有一个设备地址判别电路,当地址线上的计数值与请求总线的设备相一致时,该设备置“1”BS线,获得总线使用权,此时中止计数查询。 10 什么是刷新存储器?其存储容量与什么因素有关? 为了不断提供刷新图像的信号,必须把一帧图像信息存储在刷新存储器,也叫视频存储器。其存储容量由图像灰度级决定。分辨率越高,灰度级越多,刷新存储器容量越大 11 外围设备的I/O控制方式分哪几类?各具什么特点? 外围设备的I/O控制方式分类及特点: (1)程序查询方式:CPU的操作和外围设备的操作能够同步,而且硬件结构比较简单 (2)程序中断方式:一般适用于随机出现的服务,且一旦提出要求应立即进行,节省了CPU的时间,但硬件结构相对复杂一些。 (3)直接内存访问(DMA)方式:数据传输速度很高,传输速率仅受内存访问时间的限制。需更多硬件,适用于内存和高速外设之间大批交换数据的场合。 (4)通道方式:可以实现对外设的统一管理和外设与内存之间的数据传送,大大提高了CPU的工作效率。 (5)外围处理机方式:通道方式的进一步发展,基本上独立于主机工作,结果更接近一般处理机。

计算机组成原理练习1

计算机组成原理练习1 一、单项选择题 1. 若十六进数为AC.B,则其十进制数为______。 A. 254.54 B. 2763 C. 172.6875 D. 172.625 2. 存放当前欲执行指令的寄存器是______。 A. MAR B. PC C. MDR D. IR 3. 在独立请求方式下,若有N个设备,则______。 A. 有一个总线请求信号和一个总线响应信号; B. 有N个总线请求信号和N个总线响应信号; C. 有一个总线请求信号和N个总线响应信号; D. 有N个总线请求信号和一个总线响应信号。 4. 动态存储器的特点是______。 A. 工作中存储内容会产生变化 B. 工作中需要动态改变访存地址 C. 工作中需要动态地改变供电电压 D. 需要定期刷新每个存储单元中存储的信息 5. DMA访问主存时,向CPU发出请求,获得总线使用权时再进行访存,这种情况称作______。 A. 停止CPU访问主存; B. 周期挪用; C. DMA与CPU交替访问; D. DMA。 6. 计算机中表示地址时,采用______ 。 A. 原码 B. 补码 C. 反码 D. 无符号数 7. 采用变址寻址可扩大寻址范围,且______。 A. 变址寄存器内容由用户确定,在程序执行过程中不可变; B. 变址寄存器内容由操作系统确定,在程序执行过程中可变; C. 变址寄存器内容由用户确定,在程序执行过程中可变; D. 变址寄存器内容由操作系统确定,在程序执行过程不中可变; 8. 由编译程序将多条指令组合成一条指令,这种技术称做_______。 A. 超标量技术 B. 超流水线技术 C. 超长指令字技术 D. 超字长 9. 计算机执行乘法指令时,由于其操作较复杂,需要更多的时间,通常采用______控制方式。 A. 延长机器周期内节拍数的 B. 异步 C. 中央与局部控制相结合的 D. 同步 10. 微程序放在______中。 A. 存储器控制器 B. 控制存储器 C. 主存储器 D. Cache 11. 在CPU的寄存器中,______对用户是完全透明的。 A. 程序计数器 B. 指令寄存器 C. 状态寄存器 D. 通用寄存器 12. 运算器由许多部件组成,其核心部分是______。 A. 数据总线 B. 算术逻辑运算单元

《计算机组成原理》期末考试试题及答案

武汉大学计算机学院 2007-2008学年第一学期2006级《计算机组成原理》 期末考试试题A卷答案 __ 学号_______ 班级 ____ _____ 姓名__ _________ 成绩_____ ___ 1.(16分)一浮点数,阶码部分为q位,尾数部分为p位,各包含一位符号位,均用补码表示;该浮点数所能表示的最大正数、最小正数、最大负数和最小负数分别是多少? 解: 2.在一个具有四体低位多体交叉的存储器中,如果处理器的访存地址为以下十进制。求该存储器比单体存储器的平均访问速率提高多少?(忽略初启时的延迟) (1)1、2、3、…… 32 (10分) (2)2、4、6、…… 32 (10分) 解:设存储器的访问周期为T。 (1)四体低位多体交叉的存储器访问的情况如下: 1、2、3 所需时间= T ; 4、5、6、7 所需时间= T ; 8、9、10、11 所需时间= T ; 12、13、14、15 所需时间= T ; 16、17、18、19 所需时间= T ; 20、21、22、23 所需时间= T ; 24、25、26、27 所需时间= T ; 28、29、30、31 所需时间= T ; 32 所需时间= T ; 四体低位多体交叉的存储器访问所需时间=9T; 单体存储器访问所需时间=32T; 所以平均访问速率提高:32/9倍

(2)四体低位多体交叉的存储器访问的情况如下: 2 所需时间= T ; 4、6 所需时间= T ; 8、10 所需时间= T ; 12、14 所需时间= T ; 16、18 所需时间= T ; 20、22 所需时间= T ; 24、26 所需时间= T ; 28、30 所需时间= T ; 32 所需时间= T ; 四体低位多体交叉的存储器访问所需时间= 9T; 单体存储器访问所需时间=16T; 所以平均访问速率提高:16/9倍 3.(20分)假定指令格式如下: 其中: D/I为直接/间接寻址标志,D/I=0表示直接寻址,D/I=1表示间接寻址。 Bit10=1:变址寄存器I寻址; 设有关寄存器的内容为(I)=063215Q 试计算下列指令的有效地址。(Q表示八进制) (1) 152301Q (2) 140011Q 解: (1) 152301Q=1 101 010 011 000 001 因为Bitl0(I)=1,故为变址寄存器寻址,EA=(I)+A=063215+301=063516Q。 (3) 140011Q=1 100 000 000 001 001 因为D/I=0,故为直接寻址,EA=A=011Q。 4. 已知某运算器的基本结构如图所示,它具有+(加)、-(减)、和M(传送)三种操作。 (1) 写出图中1~12表示的运算器操作的微命令;(6分) (2) 设计适合此运算器的微指令格式;(6分) (3) 指令DDA的功能是计算R1、R2和R3三个寄存器的和,若进位C=0,则R1+R2→R2;若进位C=1,则R1+R2+R3→R2,画出指令DDA的微程序流程图,并列出微操作序列(取指令流程不写,取指令微指令安排在0号单元中);(6分) (4)设下址地址为5位,将微程序流程图安排在1~3号单元里;(6分)

计算机组成原理期末试题

1.刷新存储器的重要性能指标是它的带宽。若显示工作方式采用分辨率为1024*768,颜色深度24位,帧频(刷新速度)为72Hz,求: 1)刷新存储器的容量是多少 2)刷新存储器的带宽是多少 1)刷新存储器的容量= 1024*768 * 24bit= 2)帧频(刷新速度)为72Hz指的是:每秒钟读72次, 所以,刷新存储器的带宽=1024*768 * 24bit *72 次/秒=162MB/s 2.试推导磁盘存储器读写一块信息所需要的总时间 读写一块总时间TB=平均找道时间Ts+平均等待时间Tw +读写传输时间Tt 读写一块总时间TB=平均找道时间+平均等待时间+读写传输时间=Ts+Tw+Tt 1)Tw 设磁盘每秒r转,每条磁道N个字,则数据传输率=rN个字/秒 转一周的时间=1/r,所以 Tw =1/2*(1/r)=1/(2r) 2)Tt 又设每块的字数是n,一旦读写头定位在该块,则Tt≈n/(rN)秒 所以TB=Ts+ 1/(2r)+ n/(rN) 秒 3.采用串行接口进行7位ASCII码传送,带有一位奇偶校验位、一位起始位和一位停止位,当波特9600波特时,字符传送率是 9600波特=9600bit/秒 =9600 bit*(1字符/10bit)/秒 =960字符/秒 4.某总线在一个总线周期中并行传送8个字节的信息,设一个总线周期等于一个总线时钟周期,总线时钟频率为70MHz,求总线带宽 Dr=8字节/T秒=8*70*10^6≈420MB/秒 5.某机器CPU中有16个寄存器,运行某中断处理程序时,仅用到其中的2个寄存器,请问响应中断而进入该中断处理程序时是否将通用寄存器内容保存到主存中去需保存几个寄存器 要将通用寄存器内容保存到主存中去。 只要保存中断处理程序用到的那2个寄存器的内容。 1.已知cache的存储周期是40ns,主存存储周期200ns, cache/主存系统平均50ns,求cache的命中率访问n个字,设命中率为H cache/主存系统的平均访问时间 =命中cache的时间+不命中cache的主存访问时间 =H*Tc+(1-H)*Tm =H*40+(1-H)*200 =50

计算机组成原理简答题.wps

一、cache的映射方式及特点 1.全相联方式的主要缺点是比较器电路难于设计和实现,因此只适合于小容量cache采用。 2.直接映射方式的优点是硬件简单,成本低。缺点是每个主存块只有一个固定的行位置可存放。 3.组相联映射的方式是前两种方式的折中方案,它适度地兼顾了二者的优点又尽量避免二者的缺点,因此被普遍采用。 二、cache的替换策略及特点 1.最不经常使用算法,LFU算法认为应将一段时间内被访问次数最少的那行数据换出。 2.近期最少使用算法,LRU算法将近期内长久未被访问过的行换出。 3.随机替换策略实际上是不要什么算法,从特定的行位置中随机地选取一行换出即可。 三、cache的写回策略方式及特点 1.写回法:当CPU写cache命中时,只修改cache的内容,而不立即写入主存;只有当此行被换出时才写回主存。减少了访问主存的次数,但是存在不一致性的隐患,实现时,每个cache行必须配置一个修改位,以反映此行是否被CPU修改过。 2.全写法:当写cache命中时,cache与主存同时发生写修改,因而较好地维护了cache 与主存的内容的一致,当写cache未命中时,直接向主存进行写入。cache中每行无需设置一个修改位以及相应的判断逻辑,缺点是降低了cache的功效。 3.写一次法:基于写回法并结合全写法的写策略,写命中与写未命中的处理方法与写回法基本相同,只是第一次写命 中时要同时写入主存。这便于维护系统全部cache的一致性 四、对指令系统性能的要求有哪些? 1.完备性,要求指令系统丰富、功能齐全、使用方便。 2.有效性,利用该指令系统所编写的程序能够高效率的运行。 3.规整性包括指令系统的对称性、匀齐性、指令格式和数据格式的一致性。 4.系列机各机种之间具有相同的基本结构和共同的基本指令集,因而指令系统是兼容的,即各机种上基本软件可以通用。 五、精简指令系统的特点 RISC指令系统的最大特点是: ⑴选取使用频率最高的一些简单指令,指令条数少; ⑵指令长度固定,指令格式种类少;

计算机组成原理练习题一

计算机组成原理练习题一 一、简答题: 1、电子计算机一般分成哪些组成部分?为什么要分成这些组成部分? 答:电子计算机主要有五个组成部分:输入设备、控制单元、存储器、运算单元和输出设备。这些部件相互配合,相互协调地完成运算任务。输入设备用于接收外界信息,输出设备将计算的结果从计算机中输出,控制器完成操作步骤的控制和协调,存储器用于存储程序和数据,运算器则是完成计算工作的部件。 2、计算机中采用什么计数制?为什么? 答:计算机中所采用的是二进制的数据表示形式,因为二进制能方便可靠地用数字电路的逻辑电平表示。 3、运算器中可以有哪些寄存器?为什么? 答:首先讲一下寄存器的概念,寄存器是运算中临时存放数据的部件,由触发器构成,用于存储最频繁使用的数据。 运算器中可以有存储数据的寄存器,用于存放一些中间运算结果等;运算器中还可以有保存指令的寄存器、保存运算状态的寄存器以及保存存储器地址的寄存器。 原因:数据和存储器地址等信息从存储器中取出后需要临时保存在运算器中,运算的中间结果在存放到存储器中之前也需要临时存放。 4、什么是存储器的容量?什么是数据字?什么是指令字? 答:存储器的容量是衡量存储器容纳信息能力的指标.主存储器中数据的存储一般是以字为单位时进行,存储器中存储的一个字的信息如果是数据则称为数据字,如果是指令则称为指令字. 5、存储器中存储的数据和程序是怎样区分的? 答:数据和指令都以二进制代码的形式存储在存储器中,从代码本身无法区别它是数据还是指令,CPU在取指令时把从存储器中读取的信息都看作指令,在读取数据时把从存储器中读取的信息都看成是数据。为了区分运算数据和程序中的指令,程序员在编写程序时需要知道每个数据的存储位置以及指令的存储位置,以避免将指令当作数据或者将数据当作指令。 6、存储器中可存放大量数据,怎样从中找出指定的数据? 答:为了寻找主存储器中的某一个数据的位置,需要给不同的存储位置指定一个编号,也就是编排地址.数据写入和读出通过指定一个地址进行,就可以从存储器中找出指定的数据. 7、某计算机的内存为64MB,试计算该内存有多少个字节? 答:存储器容量的换算关系:1KB=1024B,1MB=1024KB,1GB=1024MB,1TB=1024GB。 64MB=64×1024KB=64×1024×1024B=67108864B。 8、计算机的存储器为什么要有内存和外存之分?

计算机组成原理期末考试试卷及答案(1)

计算机组成原理期末考试试卷(1) 一.选择题(下列每题有且仅有一个正确答案,每小题2分,共20分)1.假设下列字符码中最后一位为校验码,如果数据没有错误,则采用偶校验的字符码的是____。 A. 11001011 B. 11010110 C. 11000001 D. 11001001 2.在定点二进制运算器中,减法运算一般通过______ 来实现。 A. 补码运算的二进制加法器 B. 补码运算的二进制减法器 C. 补码运算的十进制加法器 D. 原码运算的二进制减法器 3.下列关于虚拟存储器的说法,正确的是____。 A. 提高了主存储器的存取速度 B. 扩大了主存储器的存储空间,并能进行自动管理和调度 C. 提高了外存储器的存取速度 D. 程序执行时,利用硬件完成地址映射 4.下列说法正确的是____。 A. 存储周期就是存储器读出或写入的时间 B. 双端口存储器采用了两套相互独立的读写电路,实现并行存取 C. 双端口存储器在左右端口地址码不同时会发生读/写冲突 D. 在cache中,任意主存块均可映射到cache中任意一行,该方法称为直接映射方式 5.单地址指令中,为了完成两个数的算术运算,除地址码指明的一个操作数外,另一个操作数一般采用____寻址方式。 A. 堆栈 B. 立即 C. 隐含 D. 间接 6.指令系统中采用不同寻址方式的目的主要是______ 。 A.实现存储程序和程序控制 B.提供扩展操作码的可能并降低指令译码难度 C.可以直接访问外存 D.缩短指令长度,扩大寻址空间,提高编程灵活性7.下列说法中,不符合RISC指令系统特点的是____。 A. 指令长度固定,指令种类少 B. 寻址方式种类尽量少,指令功能尽可能强 C. 增加寄存器的数目,以尽量减少访存的次数 D. 选取使用频率最高的一些简单指令,以及很有用但不复杂的指令

【精品】计算机组成原理期末考试简答题重点

一、简答题 1、试述浮点数规格化的目的和方法。 答:浮点的规格化是为了使浮点数尾数的最高数值位为有效数位。当尾数用补码表示时,若符号位与小数点后的第一位不相等,则被定义为已规格化的数,否则便是非规格化数。通过规格化,可以保证运算数据的精度。 方法:进行向左规格化,尾数左移一位,阶码减1,直到规格化完毕。 2、简述循环冗余码(CRC)的纠错原理。 答:CRC码是一种纠错能力较强的校验码。在进行校验时,先将被检数据码的多项式用 生成多项式G(X)来除,若余数为0,说明数据正确;若余数不为0,则说明被检数据有错。 只要正确选择多项式G(X),余数与CRC码出错位位置的对应关系是一定的,由此可以 用余数作为判断出错位置的依据而纠正出错的数据位。 3、DRAM存储器为什么要刷新?有几种刷新方式? DRAM存储元是通过栅极电容存储电荷来暂存信息。由于存储的信息电荷终究是有泄漏的,电荷数又不能像 SRAM存储元那样由电源经负载管来补充,时间一长,信息就会丢失。为此必须设法由外界按一定规律给栅 极充电,按需要补给栅极电容的信息电荷,此过程叫“刷新”。 ①集中式---正常读/写操作与刷新操作分开进行,刷新集中完成。 ②分散式---将一个存储系统周期分成两个时间片,分时进行正常读/写操作和刷新操作。 ③异步式---前两种方式的结合,每隔一段时间刷新一次,保证在刷新周期内对整个存储器 刷新一遍。 4、CPU中有哪些主要寄存器?简述这些寄存器的功能。 (1)指令寄存器(IR):用来保存当前正在执行的一条指令。 (2)程序计数器(PC):用来确定下一条指令的地址。 (3)地址寄存器(AR):用来保存当前CPU所访问的内存单元的地址。 (4)缓冲寄存器(DR): <1>作为CPU和内存、外部设备之间信息传送的中转站。 <2>补偿CPU和内存、外围设备之间在操作速度上的差别。 <3>在单累加器结构的运算器中,缓冲寄存器还可兼作为操作数寄存器。 (5)通用寄存器(AC):当运算器的算术逻辑单元(ALU)执行全部算术和逻辑运算时,为ALU提供一个工作区。 (6)状态条件寄存器:保存由算术指令和逻辑指令运行或测试的结果建立的各种条件码内容。除此之外,还保存中断和系统工作状态等信息,以便使CPU和系统能及时了解机器运行状态和程序运行状态。 5、中断处理过程包括哪些操作步骤? 关闭中断标识,重要数据入栈, 处理中断服务功能, 数据出栈, 恢复中断标识, 开中断.

相关文档
相关文档 最新文档