文档库 最新最全的文档下载
当前位置:文档库 › WiFi射频电路设计

WiFi射频电路设计

WiFi产品的电路设计

I. 前言

这是一篇针对性很强的技术文章。在这篇文章中,我只是分析研究了Wi-Fi产品的一般射频电路设计,而且主要分析的是Atheros 和Ralink的解决方案,对于其他厂

商的解决方案并没有进行研究。

这是一篇针对性很不强的技术文章。在这篇文章中,我研究,讨论了Wi-Fi产品中的射频电路设计,包括各个组成部分,如无线收发器,功率放大器,低噪声放大器,如果把这里的某一部分深入展开讨论,都可以写成一本很厚的书。

这篇文章具有一般性。虽然说这篇文章主要分析了Atheros和Ralink的方案,但是这两家厂商的解决方案很具有代表性,而且具有很高的市场占有率,因此,大部分Wi-Fi 产品也必然是具有一致或者类似的架构。经常浏览相关网站的人一定知道,在中国市场热卖的无线路由器,无线AP很多都是这两家的解决方案。

这篇文章具有一定的实用性。这篇文章的编写是基于我们公司的二十余种参考设计电路,充分吸收了参考设计的精华,并提取其一般性,同时,本文也重在分析实际的电路结构和选择器件时应该注意的问题,并没有进行深入的理论研究,所以,本

文具有一定的实用性。

这篇文章是我在自己的业余时间编写的(也可以说我用这种方式消磨时间),如果这篇文章能够为大家的工作带来一点帮助,那将是我最高兴的事。由于时间有限,编写者水平更加有限,错误之处在所难免,欢迎大家批评指正。

第1章. 射频设计框图

做技术的,讲解某个设计的原理时,都会从讲解框图开始,本人也不例外,先给大家展示一下Wi-Fi产品的一般射频设计框图。

图1-1 Wi-Fi产品的一般射频设计框图

如图1-1所示,一般Wi-Fi产品的射频部分由五大部分组成(这是我个人的见解,不同的工程师可能会有不同的想法),蓝色的虚线框内统一看成是功率放大器部分。无线收发器(Radio Transceiver)一般是一个设计的核心器件之一,除了与射频电路的关系比较密切以外,一般还会与CPU有关,在这里,我们只关注其与射频电路相关的一些内容。发送信号时,收发器本身会直接输出小功率的微弱的射频信号,送至功率放大器(Power Amplifier,PA)进行功率放大,然后通过收发切换器(Transmit/Receive Switch)经由天线(Antenna)辐射至空间。接收信号时,天线会感应到空间中的电磁信号,通过切换器之后送至低噪声放大器(Low Noise Amplifier,LNA)进行放大,这样,放大后的信号就可以直接送给收发器进行处理,

进行解调。

在后续的讲解中,我会将图1-1中的各个部分逐个展开,将每一个都暴露在大家眼前,也会详细讲解每一部分的设计,相信大家在认真仔细的阅读这篇文档之后,就可以对射频的各个组成部分有一个比较清晰的认识。

第2章. 无线收发器

我把无线收发器(在本章的以下内容中简称收发器)放在了第一个模块,主要原因就是因为,它一般会是一个设计的核心器件之一,有的时候还可能集成在CPU上,就会是一个设计中的最重要的芯片,同时,理所当然,收发器的重要性决定了它的外围电路必然很复杂,实际上也是如此。而且,如果没有参考设计,完全由我们自主设计的时候,这颗芯片也是我们应该放在第一优先的位置去考虑,这颗芯片从根本上决定着整个设计的无线性能。这样,这一部分的设计讲解起来会比较困难,可

是还是想最先讲解这里。

收发器通常会有很多的管脚,在如图2-1中,我只给出了射频电路设计时会关注的管脚,可以看到,有几个电源管脚,数字地,模拟地(PLL,VCO),射频输出,

功率放大器增益控制(内部AGC),功率检测,温度检测,射频输入,低噪声放大器增益控制,发射、接收切换等管脚,在接下来的内容中,我会把这些管脚分模块

逐个讲解。

图2-1 一般的无线收发芯片(射频电路设计相关)

2.1. 无线收发器芯片的技术参数

不同的设计,收发器一般会很不一样,我们大多数时候都不会想着去更换它。一般我们选用收发器,会直接按照参考设计进行,尽管如此,我还是像从一个研发人的角度出发,说一说,在选择无线收发器时应该关注的一些参数(射频电路相关的参

数)。

2.1.1. 协议,频率,通路与传输速率

在收发器的Datasheet中,一般会在开始的几段话中就指出该芯片支持哪些协议,工作在什么频率上,几条通路(也就是几发几收),我们公司目前的主打产品设计都是支持802.11n的。这三项参数的重要性想必不用我说,大家也应该体会得到,

它们参数决定着最终的产品的功能。

一段典型的描述如:The Atheros AR9220 is a highly integrated single-chip solution for 2.4GHz and 5GHz 802.11n-ready wireless local area network (WLANs) that enables high-performance 2×2 MIMO configurations for wireless stations applications demanding robust link quality and maximum throughput and range.

从这段描述中,我们可以知道,AR9220支持802.11n草案(一般来说都会兼容802.11b/g)。同时,AR9220也支持双频,2.4GHz和5GHz,这样,我们就可以

得知,它也支持802.11a。2×2 MIMO说明AR9220是二发二收(2T2R)。

传输速率和协议及通路密切相关,感兴趣的同事可以查阅相关资料。

从AR9220的Datasheet中我们可以得知,20MHz带宽,最高传输速率可以达到130Mbps,40MHz带宽时,最高的传输速率可以达到300Mbps。

2.1.2. 调制方式

调制方式和传输速率是密切相关的,不同的传输速率对应着不通的调制方式。芯片支持的调制方式一般会在Datasheet的特性描述中给出。例如,AR9220支持的调制方式有BPSK,QPSK,16QAM,64QAM,DBPSK,DQPSK,CCK。

2.1.

3. 时钟频率

时钟频率,时钟频率包括两种,收发器外接晶振的频率和内部倍频后的工作频率,

这项参数同样应该是我们关注的。

2.1.4. 输出功率

有一个现象我一直也弄不清楚,为什么在收发器的Datasheet中不给出其发射功率?这项参数对于我们RF工程师是很重要的,因为这项参数决定着后续功率放大电路

的设计,我们要保证收发器的输出功率足以驱动功率放大器,这样,我们才能够设

计合理有效的放大器。

2.1.5. 接收灵敏度

和输出功率一样,收发器接收灵敏度这项参数也不会在Datasheet中给出,在实际的设计过程中,有了这项参数,我们才能合理地设计低噪声放大器的放大倍数,才能保证低噪声放大器的输出可以被收发器有效的接受。

2.1.6. 射频接口

这项参数关系着我们后续的射频电路的结构。一般来说,收发器应该具有的射频输入管脚包括:射频输出管脚,功率放大器增益控制管脚,功率放大器输出功率检测输入管脚,低噪声放大器增益控制管脚,切换器收发控制管脚,一般Ralink的方案

还会有PA温度检测管脚。

2.1.7. 供电电压与功耗

从全局的角度看,供电电压与功耗同样会是我们不得不关注的技术参数,这两项参

数关系着电源电路的设计和散热的设计。

2.2. 差分射频信号的处理

2.2.1. 收发器本身具有的管脚

对于射频信号,为了增强收发器的抗干扰能力,一般会采用差分信号的处理方式,也就是说,收发器会以差分形式将信号发送出去,同时外部电路也必须为收发器提供差分射频信号的输入。如图2-2所示,红色方框内的四只管脚就是这个收发器的差分射频信号的输入,输出管脚,也是最重要的射频信号管脚。

图2-2 收发器的射频输入与输出管脚

这里必须指出的是,Atheros的收发器一般会同时对输入与输出做差分处理。但是Ralink一般要求外部输入的信号是差分的,而自身输出的射频信号则不是差分的。图2-3和图2-4分别给出了RT3052(Ralink)和AR9220(Atheros)的主要射频信号管脚。不难发现,Atheros的设计相比Ralink要更加细腻,不只是收发器芯片,在后续电路的设计中,也会发现,Atheros考虑的问题很周全,我想,这也是我们

作为研发人应该具备的一种精神。

图2-3 RT3052的主要射频信号管脚

图2-4 AR9220的主要射频信号管脚

2.2.2. 收发器发送的差分信号

收发器发送的差分信号,我们要想办法把他们合二为一。为什么要这样做,收发器送出的信号是要给功率放大电路的,功率放大电路处理的是单端信号。

平衡器通常用来处理差分信号的问题,除此之外,我们知道,电感和电容都能够改变信号的相位,从差分信号到单端信号,基本的方法就是用电感和电容组成两条不同的通路,这样,经过处理电路的两路信号就在相位上相差了180°,从而可以使原本相位相差180°的差分信号同相,得到单端信号。相反,使单端信号通过两条不同

的通路,就得到了差分信号。

下面让我们来分别看一下这两种方法的电路形式。

方法一,使用平衡器。原本相位相差180°的差分信号经过平衡器(Balun,俗称巴伦),就可以得到合二为一的单端射频信号。如图2-5所示,图中的F1就是一个平衡器,差分信号RFOUT_P和RFOUT_N经过F1得到单端信号RF_OUT。

图2-5 典型的平衡电路

方法二,使用分立元件。典型的使用分立元件的处理电路如图2-6所示。

图2-6 典型的分立元件处理电路

2.2.

3. 平衡器的参数与选择

在Atheros的方案中,平衡器往往使用的很多,我在这里给出平衡器的主要参数和简要的选型指南。如前所述,在我们的Wi-Fi产品中,平衡器常用于处理差分信号,

其主要的参数如下:

?不平衡阻抗

?平衡阻抗

?工作频率

?不平衡端口回波损耗

?相位变化

?插入损耗

例如,常用的平衡器HHM1711D1典型参数如图2-7所示。这样我们在设计是就可

以根据我们的需求选择合适的平衡器了。

图2-7 HHM1711D1的典型参数

2.2.4. 收发器接收的差分信号

收发器接收的信号来自于前端的低噪声放大器,和功率放大器一样,低噪声放大器处理的也是单端射频信号,这样,我们必须将低噪声放大器输出的信号进行转换。同样,对于低噪声放大器的输出信号同样有两种处理方式:使用平衡器和使用分立元件。Atheros的方案中,有些使用平衡器;Ralink的方案中,至今还没有使用过。

其实大家也一定想到了,收发器接收信号和收发器发送信号差不多就是互为逆过程,因此电路的结构也差不多是相反的。没错,看了下面的实际电路图就知道了。

先来介绍使用平衡器的方案。在某实际案例中,采用了如图2-8所示的平衡器电路。

单端信号RF_IN经过平衡器F5后得到差分的射频信号RFIN_P和RFIN_N。

图2-8 某案例采用的平衡器电路

再来看看采用分立元件实现的方法,图2-9是Ralink惯用的方式,图2-10是Atheros 常用的处理方式。可以看出,这两种设计方法大同小异。

图2-9Ralink常用的分立元件信号处理方式

图2-10 Atheros常用的分立元件信号处理方式

2.3. 收发器的电源管脚

收发器一般会有很多个电源管脚,可以大概分为几类,从图2-2也可以看出来,一般会具有主电源管脚,核电压电源管脚,IO电源管脚,锁相环(Phase Lock Loop,

PLL)电源管脚等。

在射频电路设计中,我们一般会比较关注的是模拟电源。对于射频电路的供电,如果让我在线性稳压电源(LDO)和开关电源(DC/DC)之间选择,那么我会毫不犹

豫的选择线性电源。

对于收发器的电源管脚,通常的处理方法就是在每个电源的管脚处都放置一个0.1uF的电容,耗电比较大的管脚旁,需要放置更大容量的电容,1-10uF或者更大。注:收发器的模拟电源供电和数字电源供电要用电感或者磁珠隔开,并且一定要在电感或磁珠后放置容量比较大的电容,如果条件允许的话,最好放置电解电容,会对电源的性能起到很大的提升作用,同时并联几个容量比较小的瓷片电容,就可以

滤除不同频率的交流成分。

2.4. 收发器完整的外围电路设计

回想一下,我们在前面的叙述中讲解了如何选择收发器,收发器相关的差分信号处理,收发器的电源供给,这三方面的内容基本上较完整的覆盖了收发器射频电路设计的内容,也就是说,把这三部分弄清楚,基本上就完成了这部分的设计。

想必大家应该比较清楚那三部分的结构了,好,让我们来试一下,在图2-2那个芯片的外围放置一些器件,再连上几条线,完成无线收发器及其外围电路设计。在这里,我们对收发器输出的差分信号用平衡器处理得到单端信号RFOUT,来自低噪声放大器的接收信号RFIN用分立元件处理得到差分信号RFIN_P,RFIN_N。这样,

就得到了如图2-11所示的原理图。

图2-11 完整设计的无线收发器外围电路

第3章. 功率放大器

功率放大器,Power Amplifier,俗称PA,主要的作用就是将无线收发器(Radio Transceiver)送来的射频信号进行功率放大,保证有足够大的输出功率满足设计需求。功率放大器的设计是一个十分专业的话题,也有很多人,很多高级的射频工程师在这方面进行过十分深入的研究,我在这里只针对我们的Wi-Fi产品的常用的设

计方法进行讨论。

我们的产品中,功率放大器的组成无非就是一颗芯片配上几颗外围的器件,但是在大功率的场合,几乎不会有人用集成电路去做功率放大,一般都是用分立元件设计出来的,晶体管或场效应管。在我们目前的所有设计中,功率放大器都是用集成电路来实现的。如图3-1所示,是通常的功率放大器的设计框图。

图3-1 功率放大器的框图

功率放大器的设计会考虑很多参数,但主要分为三类:增益,噪声,非线性。增益,和最终的输出功率有关,噪声和非线性关系着信号质量。

我在这里把功率放大器(在本章的以下内容中简称功放)分为以下几个部分进行讨论:功放芯片的选择,功放芯片的供电,输入回路,输出回路,功率检测,增益控

制,温度检测。

3.1.1. 功放芯片的管脚

功放芯片属于微波功率器件的范畴,图3-2给出了一个典型的功放芯片的原理图符

号,包括以下管脚:

VCC 主电源供电管脚

VC1 一级功率放大供电管脚

VC2 二级功率放大供电管脚

RFIN 射频信号输入管脚

RFOUT 射频信号输出管脚

GAIN_1 增益控制管脚之一

GAIN_2 增益控制管脚之二

POWER_DETECT 内建功率检测输出管脚

图3-2 典型的功放芯片

值得注意的是,GAIN_1和GAIN_2是来自收发器(Transceiver)的控制信号,是直流电压,POWER_DETECT是功放芯片输出的发射功率检测值,也是直流电压,而RFIN和RFOUT是最重要的射频信号管脚。

3.1.2. 功放芯片的主要厂商

在市场上的产品中,功放芯片的供应商基本上就是这四家:SiGe,SST,Microsemi,Richwave,表3-1,表3-2给出了几个实际项目中所采用的功放芯片的型号。

表3-1 Atheros的设计中采用的功放芯片

表3-2 Ralink的设计中采用的功放芯片

通过以上表格,我们很容易发现,Atheros很喜欢Microsemi的芯片,而Ralink则比较喜欢Richwave和SST的,在BCM4323这个项目中,使用的功放芯片是SiGe 的,在AP96现在的设计中,使用的也是SiGe的Frontend Module。

3.1.3. 功放芯片的主要参数

功放芯片的选择是一个复杂的过程,在实际的选择过程中,我们一般会考虑如下的

几项参数:

?工作频率

?小信号增益

?最大线性输出功率

?1dB压缩点输出功率

?误差向量幅度(EVM)

?相邻信道功率比(ACPR)

?噪声系数

?是否内建功率检测功能

?是否内建增益控制功能

?供电电压

?消耗的电流

以上的这些参数,并不是在每颗功放芯片的Datasheet中都会完整给出,有些Datasheet只能给出部分参数。各项参数的意义想必大家都很清楚,我在这里就不做过多的解释了。一个典型的功放芯片的Datasheet(片段)如下:

2.3-2.5GHz Operation

Single Positive Supply Voltage Vcc = 3.3V

Power Gain ~ 27dB

Quiescent Current ~ 90mA

EVM ~ -30dB at Pout = +19dBm

Total Current ~ 150mA for Pout = +19dBm

Pout ~ +26dBm for 11g OFDM Mask Compliance

Total Current ~220mA for Pout = +23dBm 1 Mbps DSSS

On-Chip Input Match

Simple Output Match

Robust RF Input Tolerance > +5dBm

Small & Low-Cost 3x3x0.9mm3 MLP Package

Cost Reduction over LX5510, LX5510B

从以上的叙述中我们了解到,这颗功放芯片的工作频率是2.3-2.5GHz,采用3.3V 单电源供电,静态工作电流是90mA,19dBm功率输出时,EVM的值是-30dB,等

等。

功放芯片的性能很重要,当然,在满足性能的前提下,我们会选择最便宜的

3.2. 功放芯片的供电

图3-2展示的一般功放芯片有三个电源管脚,分别是VCC,VC1,VC2,其中的VCC是主电源供电,VC1是芯片内部第一级放大的供电,VC2是芯片内部第二级放大的供电。这里有个很重要的问题需要注意,VC1和VC2 不是简单的供电管脚,这两个管脚通常不会直接连接到电源上,一般会串联一个电感(或者电阻)再连接到电源上,为什么呢?这是因为这是为芯片内的功率晶体管(或场效应管)供电的管脚,通常在分离元件组成的功率放大电路中,我们都会看到在晶体管的集电极(或者场效应管的漏极)上都串有电感,而电感是不容易集成到芯片中的,这样,就需要在芯片的外部放置电感,这样,就得到了典型的功放芯片的供电方式,如图3-3

所示。

图3-3 典型的功放芯片供电方式

除了上面提到的电感的问题,另一个值得注意的就是,功放电路处理的模拟信号,是正统的模拟电路,因此需要尤其注意其电源要与数字电路的电源分开。另一个极为重要的问题是,如图3-3所示,在每个电源管脚处,都需要放置一个滤波电容组合,例如VCC管脚处放置的是100pF和1000pF的滤波电容组合,VC1管脚处是10pF的电容。滤波电容的组合形式是这样的,对于主电源管脚VCC,需要尽量多地放置不同容量的电容,而且这些电容的容量最好是不同数量级的,例如可以这样组合:10uF+1uF+0.1uF+1000pF+100pF+10pF,不同容量的电容用于滤除不同频

率成分的扰动。对于VC1和VC2这两个管脚,要注意,放置的滤波电容容量要较

小,通常在1-10pF。

3.3. 输入回路

功放电路的输入回路一般包括两个部分,一个是带通滤波器(Band Pass Filter,BPF),一个是∏型匹配网络,我们分开两部分来讲。

3.3.1. 带通滤波器

我们知道,2.4GHz频段的子载波有13个,频率从2.412GHz到2.437GHz,相邻两信道之间的频率间隔是5MHz,很容易理解,从收发器(Transceiver)输出的信号包括了从2.412GHz到2.472GHz这样的一个频率带,因此,为了能够使有用的信号顺利地进入功放芯片,无用的杂乱信号被滤除,一般会在功放芯片的输入回路

上放置一个带通滤波器。

带通滤波器有三种实现方法,一种是使用已经设计好的专用带通滤波器,这在Ralink 的方案中使用的很多;一种是使用分立元件组成的带通滤波器,这种方法用的不是很多;第三种方法几乎是Atheros专有的,就是印制带通滤波器,这种滤波器最突出的优点就是没有成本,最突出的缺点是占用的空间比较大,而且还需要净空区,

在AP51中就使用了这种滤波器。

用分立元件设计带通滤波器需要复杂的计算过程,也需要较强的数学功底,我们在这里不进行过多的研究。接下来我们主要讨论如何选择一款已经设计好的带通滤波

器。带通滤波器的参数并不多,主要有:

?输入阻抗

?输出阻抗

?通频带

?通频带内的衰减

?通频带以外的衰减

通常情况下,成品的带通滤波器,输入和输出阻抗都会控制在50欧姆的标称值,对于通频带相关特性,一张图表足以反映出来。如图3-4给出了我们常用的HMD845H 的S21参数与频率之间的关系。很明显,该带通滤波器的通频带为2.4GHz-2.5GHz,

对于通频带以外的频率,衰落的很快。

图3-4 HMD845H的S21参数

3.3.2. ∏型匹配网络

匹配,这件事在射频设计中是极其重要的,很多时候,我们设计或者调试射频电路,都是在解决匹配的问题,永远记住这样一条经典的准则:共轭匹配传输功率最大。∏型匹配网络一般直接放在功放芯片的输入端,也就是放在RFIN这个管脚处,通常芯片的管脚不会匹配到50欧姆,我们也不会知道管脚的输入特性,这样的话,∏

型匹配网络的必要性就可想而知了。

∏型匹配网络,顾名思义,形状很像字母∏,我们来看一下实际的∏型匹配网络。图3-5给出的是Ralink常用的一种∏型匹配网络。

图3-5 Ralink常用的∏型匹配网络

3.3.3. 完整设计的输入回路

以上我们讨论了功放电路的输入回路的两个组成部分,带通滤波器和∏型匹配网络,有了这两个部分,我们就可以设计一个完整的输入回路了。如图3-6所示,就是一个设计完整的功放电路输入回路。图中的U9就是一款成品的带通滤波器,而C108,

C109和L14就组成了一个∏型匹配网络。

图3-6 完整设计的功放电路的输入回路

3.4. 输出回路

在输出回路中,最重要的组成部分(在很多设计中也是唯一的组成部分)就是低通滤波器,这时可能有人会问,为什么这里要用低通滤波器,而不是像输入回路那样使用带通滤波器?原因很简单,这里的低通滤波器要解决的主要问题时由于功放引起的高次谐波,如二次谐波,三次谐波甚至更高次数的谐波,当然,低通滤波器还要解决的问题就是匹配问题。其实,在射频电路的设计中,匹配的这个问题会一直

伴随着我们。

滤波器的设计需要很复杂的计算,在这里我不想探讨过多的理论知识,所以,我就不给出如何计算的方法,只给出一般的低通滤波器的形式。这里需要指出的是,Atheros的设计一般会使用三个元件,而Ralink一般会使用五个元件。如图3-7所示,是Ralink常用的滤波器形式。在图中,C112,C111,C113,C110和C114就组成了一个低通滤波器,来自功放芯片的信号PA_OUT经过滤波器后得到

LPF_OUT这信号送至后续电路。

图3-7 Ralink常用的低通滤波器

这时,我们就可以把功放芯片的输出端与低通滤波器相连接,就得到了一般射频功率放大电路的完整的输出回路,如图3-8所示。

图3-8 完整设计的功率放大器输出回路

3.5. 功率检测

功率检测功能在我们的很多设计中都可以找到,这项功能可以使无线收发器(Radio Transceiver)时刻监视着功放电路的输出功率,这样,当功放的输出功率改变时,无线收发器就可以调整自身的输出功率或者改变功放电路的增益,使功放电路的输

出功率稳定在一个固定的值。

功率检测电路输出的是直流电压值,这个电压值送给无线收发器之后,无线收发器自身内部进行A/D转换,就可以得知功放电路的输出功率了。

功率检测实现的方法通常有两种,在Ralink的设计中,通常使用功放芯片自身的功率检测功能;在Atheros的设计中,除了使用功放芯片本身的功率检测功能之外,一般还会有一种Atheros特有的设计,我们将分成两部分讨论。

3.5.1. 芯片内建的功率检测

我们在图3-2中已经看到,一般的功放芯片会有POWER_DETECT这样的一个管脚,这个管脚的作用就是用于功率检测的。使用芯片内建的功率检测功能可以简化电路设计,常见的完整形式如图3-9所示。

图3-9 常见的使用内建功率检测功能的电路形式

3.5.2. 芯片外围的功率检测电路

我们在这里用单独的一节来讨论外围的检测电路,其实要讲的就是Atheros的方案,因为这个设计实在是太有个性了,让我们来一起见识一下吧。如图3-10所示,就是Atheros常用的功率检测方案。图中的PC1就是一个印制耦合器(Printed Coupler),来自功率放大器的输出信号LPF_OUT经过耦合器,就在2,3脚感应到高频交变电压,这个电压随着输出功率的增大而增大L18,L19,D1,C217,R248组成了常规的整流电路,这样,就得到了随着输出功率的变化而变化的直流电压POWER_DETECT,无线收发器就可以得到这个电压值从而做作出相应的动作。

这里有一点需要注意的是,整流二极管D1一定要选择工作频率很高的二极管,例如这个设计中的SMS7630的工作频率就达10GHz。

图3-10 Atheros常用的功率检测方案

3.6. 增益控制

增益控制的作用就是可以改变功放电路的增益,从而能改变输出功率。改变功率放大器最终输出功率的方法有两种,一种是无线收发器改变自身的输出功率,另外一种就是改变功放电路的增益,在这里我们主要关注后者。通常功放芯片的增益控制管脚会有两个或者两个以上,分别改变的是第一级放大和第二级放大的增益值,图3-11是典型的增益控制原理图。来自收发器的控制信号PA_GAIN经过R245和C248组成的RC滤波电路(滤除来自收发器的可能的交流成分)通过两个电阻作用于功放芯片的GAIN_1和GAIN_2两个管脚,从而控制功率放大电路的增益,也控

制着最终的输出功率。

图3-11 典型的增益控制原理图

3.7. 温度检测

温度检测功能在Ralink的方案中使用的很多,但是在Atheros的方案就未曾见过。这一功能可以检测功放芯片的温度,防止芯片温度过热而烧毁。另外一个更加重要的作用就是根据环境温度调整功放电路的输出功率。很多情况下,环境温度的改变,会对功放芯片的输出功率会造成比较大的影响,如果无线收发器通过温度检测电路得知当前的温度并适当的调整自身的输出功率或者改变功放的增益,就可以使功放电路在环境温度改变时依然可保持稳定的功率输出,这对于提高产品的稳定性是有

好处的。

RF射频电路设计

RF电路的PCB设计技巧 如今PCB的技术主要按电子产品的特性及要求而改变,在近年来电子产品日趋多功能、精巧并符合环保条例。故此,PCB的精密度日高,其软硬板结合应用也将增加。 PCB是信息产业的基础,从计算机、便携式电子设备等,几乎所有的电子电器产品中都有电路板的存在。随着通信技术的发展,手持无线射频电路技术运用越来越广,这些设备(如手机、无线PDA等)的一个最大特点是:第一、几乎囊括了便携式的所有子系统;第二、小型化,而小型化意味着元器件的密度很大,这使得元器件(包括SMD、SMC、裸片等)的相互干扰十分突出。因此,要设计一个完美的射频电路与音频电路的PCB,以防止并抑制电磁干扰从而提高电磁兼容性就成为一个非常重要的课题。 因为同一电路,不同的PCB设计结构,其性能指标会相差很大。尤其是当今手持式产品的音频功能在持续增加,必须给予音频电路PCB布局更加关注.据此本文对手持式产品RF电路与音频电路的PCB的巧妙设计(即包括元件布局、元件布置、布线与接地等技巧)作分析说明。 1、元件布局 先述布局总原则:元器件应尽可能同一方向排列,通过选择PCB进入熔锡系统的方向来减少甚至避免焊接不良的现象;由实践所知,元器件间最少要有 0.5mm的间距才能满足元器件的熔锡要求,若PCB板的空间允许,元器件的间距应尽可能宽。对于双面板一般应设计一面为SMD及SMC元件,另一面则为分立元件。 1.1 把PCB划分成数字区和模拟区 任何PCB设计的第一步当然是选择每个元件的PCB摆放位。我们把这一步称为“布板考虑“。仔细的元件布局可以减少信号互连、地线分割、噪音耦合以及占用电路板的面积。 电磁兼容性要求每个电路模块PCB设计时尽量不产生电磁辐射,并且具有一定的抗电磁干扰能力,因此,元器件的布局还直接影响到电路本身的干扰及抗干扰能力,这也直接关系到所设计电路的性能。

2016年《射频电路设计》实验

实验三RFID标签的设计、制作及测试一、【实验目的】 在实际的生产过程中,RFID电子标签在设计并测试完成后,都是在流水线上批量制造生产的。为了让学生体会RFID标签天线设计的理念和工艺,本实验为学生提供了一个手工蚀刻制作RFID电子标签的平台,再配合微调及测试,让学生在亲自动手的过程中,不断地尝试、提炼总结,从而使学生对RFID标签天线的设计及生产工艺,有进一步深刻的理解。 二、【实验仪器及材料】 计算机一台、HFSS软件、覆铜板、Alien Higgs芯片、热转印工具、电烙铁、标签天线实物,UHF测试系统,皮尺 三、【实验内容】 第一步(设计):从UHF标签天线产品清单中,挑选出一款天线结构,或者自己设计一款标签天线结构,进行HFSS建模画图 第二步(制作):将第一步中设计好的标签模型用腐蚀法进行实物制作 第三步(测试):利用UHF读写器测试第二步中制作的标签实物性能 四、【实验要求的知识】 下图是Alien(意联)公司的两款标签天线,型号分别为ALN-9662和ALN-9640。这两款天线均采用弯折偶极子结构。弯折偶极子是从经典的半波偶极子结构发展而来,半波偶极子的总长度为波长的一半,对于工作在UHF频段的半波偶极子,其长度为160mm,为了使天线小型化,采用弯折结构将天线尺寸缩小,可以适用于更多的场合。ALN-9662的尺寸为70mm x 17mm,ALN-9640的尺寸为94.8mm x 8.1mm,之所以有不同的尺寸是考虑到标签的使用情况和应用环境,因为天线的形状和大小必须能够满足标签顺利嵌入或贴在所指定的目标上,也需要适合印制标签的使用。例如,硬纸板盒或纸板箱、航空公司行李条、身份识别卡、图书等。 ALN-9662天线版图 ALN-9640天线版图

射频电路PCB的设计技巧

射频电路PCB的设计技巧 摘要:针对多层线路板中射频电路板的布局和布线,根据本人在射频电路PCB设计中的经验积累,总结了一些布局布线的设计技巧。并就这些技巧向行业里的同行和前辈咨询,同时查阅相关资料,得到认可,是该行业里的普遍做法。多次在射频电路的PCB设计中采用这些技巧,在后期PCB的硬件调试中得到证实,对减少射频电路中的干扰有很不错的效果,是较优的方案。 关键词:射频电路;PCB;布局;布线 由于射频(RF)电路为分布参数电路,在电路的实际工作中容易产生趋肤效应和耦合效应,所以在实际的PCB设计中,会发现电路中的干扰辐射难以控制,如:数字电路和模拟电路之间相互干扰、供电电源的噪声干扰、地线不合理带来的干扰等问题。正因为如此,如何在PCB的设计过程中,权衡利弊寻求一个合适的折中点,尽可能地减少这些干扰,甚至能够避免部分电路的干涉,是射频电路PCB设计成败的关键。文中从PCB的LAYOUT角度,提供了一些处理的技巧,对提高射频电路的抗干扰能力有较大的用处。 1 RF布局 这里讨论的主要是多层板的元器件位置布局。元器件位置布局的关键是固定位于RF路径上的元器件,通过调整其方向,使RF路径的长度最小,并使输入远离输出,尽可能远地分离高功率电路和低功率电路,敏感的模拟信号远离高速数字信号和RF信号。 在布局中常采用以下一些技巧。 1.1 一字形布局 RF主信号的元器件尽可能采用一字形布局,如图1所示。但是由于PCB板和腔体空间的限制,很多时候不能布成一字形,这时候可采用L形,最好不要采用U字形布局(如图2所示),有时候实在避免不了的情况下,尽可能拉大输入和输出之间的距离,至少1.5 cm 以上。

射频电路设计公式

射频电路设计对特性阻抗Z的经验公式做公式化处理,参见P61 波阻抗公式: E H =Z= μ/ε=377Ω? 相速公式: v=ω β = 1 εμ 电抗公式: Xc= 1 Xl=ωL 直流电阻公式: R= l σS = l πa2σ 高频电阻公式: R′=a R 高频电感公式: L=R′ω 趋肤厚度公式: δ= 1πfμσ 铜线电感实用公式: L′=R a πfμσ= 2l 2 ? 1 πδμσ= 2l μ0/πσf= 1.54 f uH 高频电容公式: C=εA d 高频电导率: G=σA = ωεA = ωC 电容引线电感经验公式: L′=Rd?a πfμ.σ= 2lμ. = 771 f nH

电容引线串联电阻公式: R′=R?a 2δ = 2l 2πaσ πfμ.σ= l a μ.f πσ =4.8 fμΩ 电容漏电阻: R=1 G = 1 2πfC?tanΔ = 33.9exp6 f MΩ TanΔ的定义: ESR=tanΔωC 空气芯螺旋管的电感公式: L= πr2μ.N2螺旋管的电容: C=ε.?2πrN?2a l N =4πε.? raN2 l 微分算符的意义: ? x= 0? ? ?z ? ?y ? 0? ?? ? ?y ? ?x 电容,电感,电导,电阻的定义: C=εw d L= d G= σw R= d σw 特性阻抗表达式:

Z=L C 若是平行板传输线: Z=μεd w 关于微带线设计的若干公式: w/h < 1时, Z= Z. 2π ε′ 8? w + w 4? 其中, Z.=376.8Ω ε′=εr+1 + εr?1 1+ 12h? 1 2 +0.041? w2 w/h>1时 Z= Z. ε′? 1.39+ w h+ 2 3ln w h+1.444 其中, ε′=εr+1 + εr?1 1+ 12h? 1 2 如何设计微带线w/h<2时: w h = 8e A e2A?2 其中, A=2πZ Z. εr+1 2 + εr?1 εr+1 0.23+ 0.11 εr w/h>2时: W =2 (B?1?ln2B?1+ εr?1 (ln B?1 +0.39? 0.61 )) 其中, B= Z.π2Zεr 反射系数的定义:

射频电路设计技巧

实用资料——射频电路板设计技巧成功的RF设计必须仔细注意整个设计过程中每个步骤及每个细节,这意味着必须在设计开始阶段就要进行彻底的、仔细的规划,并对每个设计步骤的进展进行全面持续的评估。而这种细致的设计技巧正是国内大多数电子企业文化所欠缺的。 近几年来,由于蓝牙设备、无线局域网络(WLAN)设备,和移动电话的需求与成长,促使业者越来越关注RF电路设计的技巧。从过去到现在,RF电路板设计如同电磁干扰(EMI)问题一样,一直是工程师们最难掌控的部份,甚至是梦魇。若想要一次就设计成功,必须事先仔细规划和注重细节才能奏效。 射频(RF)电路板设计由于在理论上还有很多不确定性,因此常被形容为一种「黑色艺术」(black art) 。但这只是一种以偏盖全的观点,RF电路板设计还是有许多可以遵循的法则。不过,在实际设计时,真正实用的技巧是当这些法则因各种限制而无法实施时,如何对它们进行折衷处理。重要的RF设计课题包括:阻抗和阻抗匹配、绝缘层材料和层叠板、波长和谐波...等,本文将集中探讨与RF电路板分区设计有关的各种问题。 微过孔的种类 电路板上不同性质的电路必须分隔,但是又要在不产生电磁干扰的最佳情况下连接,这就需要用到微过孔(microvia)。通常微过孔直径为0.05mm至0.20mm,这些过孔一般分为三类,即盲孔(blind via)、埋孔(bury via)和通孔(through via)。盲孔位于印刷线路板的顶层和底层表面,具有一定深度,用于表层线路和下面的内层线路的连接,孔的深度通常不超过一定的比率(孔径)。埋孔是指位于印刷线路板内层的连接孔,它不会延伸到线路板的表面。上述两类孔都位于线路板的内层,层压前利用通孔成型制程完成,在过孔形成过程中可能还会重叠做好几个内层。第三种称为通孔,这种孔穿过整个线路板,可用于实现内部互连或作为组件的黏着定位孔。 采用分区技巧 在设计RF电路板时,应尽可能把高功率RF放大器(HPA)和低噪音放

ADS射频电路设计基础与典型应用解析

实验报告 课程名称: ADS射频电路设计基础与典型应用实验项目名称:交直流仿真分析 学院:工学院 专业班级:11级信息 姓名: 学号:1195111016 指导教师:唐加能 2014年12月23 日 预习报告

一、 实验目的 通过本节实验课程进一步熟悉使用ADS 软件,并学会使用ADS 软件进行交直流分析。 二、 实验仪器 电脑,ADS 仿真软件 三、 实验原理 (一)ADS 软件的直流,交流仿真功能 1.直流仿真 电路的直流仿真是所有射频有源电路分析的基础,在执行有源电路交流分析、S 参数仿真或谐波平衡仿真等其他仿真前,首先需要进行直流仿真,直流仿真主要用来分析电路的直流工作点。直流仿真元件面板主要包括直流仿真控制器、直流仿真设置控制器、参数扫描计划控制器、参数扫描控制器、节点设置和节点名控件、显示模板控件和仿真测量等式控件,这些面板上的原件经过设置以后既可以提供有源电路单点的直流分析,又可以提供有源电路参数扫描分析。 2.交流仿真 交流仿真能获得电路小信号时的多种参数,如电压增益、电流增益、跨导和噪声等。交流仿真执行时,首先对电路进行直流分析,并找到非线性原件的直流工作点,然后将非线性器件在静态工作点附近进行线性化处理,分析小信号在静态工作点附近的输入输出关系。 (二)交直流仿真面版与控制原件 1.直流仿真 图1中元件面板列出了直流仿真的所有仿真控件。 直流仿真控制器(DC ):直流仿真控制器(DC ) 是控制直流仿真的最重要控件,使用直流仿真控制器可以设置仿 真的扫描参数和参数的扫描范围等相关参数。 直流仿真设置控制器(OPTIONS ):直流仿真设置控制器主要用来设置直流仿真的外部环境和计算方式,例如,环境温度、设备温度、仿真的收敛性、仿真的状态提示和输出文件的特性等相关内容。

射频通信电路课程设计报告

射频通信电路课程设计报告 引言 混频器在通信工程和无线电技术中,应用非常广泛,在调制系统中,输入的基带信号都要经过频率的转换变成高频已调信号。在解调过程中,接收的已调高频信号也要经过频率的转换,变成对应的中频信号。特别是在超外差式接收机中,混频器应用较为广泛,如AM 广播接收机将已调幅信号535KHZ-一1605KHZ要变成为465KHZ中频信号,电视接收机将已调48.5M一870M 的图象信号要变成38MHZ的中频图象信号。 常用的振幅检波电路有包络检波和同步检波两类。输出电压直接反映调幅包络变化规律的检波电路,称为包络检波电路,它适用于普通调幅波的检波。通常根据信号大小的不同,将检波器分为小信号平方律检波和大信号峰值包络检波两信号检波。 目前, 在应用较广泛的电路仿真软件中, Pspice是应用较多的一种。Psp ice 能够把仿真与电路原理图的设计紧密得结合在一起。广泛应用于各种电路分析,可以满足电路动态仿真的要求。其元件模型的特性与实际元件的特性十分相似,因而它的仿真波形与实验电路的测试结果相近,对电路设计有重要的指导意义。 由此可见,混频电路是应用电子技术和无线电专业必须掌握的关键电路。 [3]

目录 引言 (2) 一.概述 (3) 二. 方案分析 (4) 三.单元电路的工作原理 (6) 1.LC正弦波振荡器 (6) 2.模拟乘法器电路 (8) 3.谐振电路 (9) 4.包络检波 (12) 四.电路性能指标的测试 (16) 五.课程设计体会..................................................................................................... 错误!未定义书签。参考文献..................................................................................................................... 错误!未定义书签。

ADS射频电路设计基础与典型应用

实验报告 课程名称:ADS射频电路设计基础与典型应用实验项目名称:交直流仿真分析 学院:工学院 专业班级:11级信息 姓名: 学号:1195111016 指导教师:唐加能 2014年12月23 日

预 习 报 告 一、 实验目的 通过本节实验课程进一步熟悉使用ADS 软件,并学会使用ADS 软件进行交直流分析。 二、 实验仪器 电脑,ADS 仿真软件 三、 实验原理 (一)ADS 软件的直流,交流仿真功能 1.直流仿真 电路的直流仿真是所有射频有源电路分析的基础,在执行有源电路交流分析、S 参数仿真或谐波平衡仿真等其他仿真前,首先需要进行直流仿真,直流仿真主要用来分析电路的直流工作点。直流仿真元件面板主要包括直流仿真控制器、直流仿真设置控制器、参数扫描计划控制器、参数扫描控制器、节点设置和节点名控件、显示模板控件和仿真测量等式控件,这些面板上的原件经过设置以后既可以提供有源电路单点的直流分析,又可以提供有源电路参数扫描分析。 2.交流仿真 交流仿真能获得电路小信号时的多种参数,如电压增益、电流增益、跨导和噪声等。交流仿真执行时,首先对电路进行直流分析,并找到非线性原件的直流工作点,然后将非线性器件在静态工作点附近进行线性化处理,分析小信号在静态工作点附近的输入输出关系。 (二)交直流仿真面版与控制原件 1.直流仿真 图1中元件面板列出了直流仿真的所有仿真控件。 直流仿真控制器(DC ):直流仿真控制器(DC ) 是控制直流仿真的最重要控件,使用直流仿真控制器可以设置仿 真的扫描参数和参数的扫描范围等相关参数。 直流仿真设置控制器(OPTIONS ):直流仿真设置控制器主要用

《射频通信电路》习题及解答

习题1: 1.1本课程使用的射频概念所指的频率范围是多少? 解: 本课程采用的射频范围是30MHz~4GHz 1.2列举一些工作在射频范围内的电子系统,根据表1-1判断其工作波段,并估算相应射频信号的波长。 解: 广播工作在甚高频(VHF )其波长在10~1m 等 1.3从成都到上海的距离约为1700km 。如果要把50Hz 的交流电从成都输送到上海,请问两地交流电的相位差是多少? 解: 84403100.65017000.283330.62102v km f k k λθπ?===?10==?10?== 1.4射频通信系统的主要优势是什么? 解: 1.射频的频率更高,可以利用更宽的频带和更高的信息容量 2.射频电路中电容和电感的尺寸缩小,通信设备的体积进一步减小 3.射频通信可以提供更多的可用频谱,解决频率资源紧张的问题 4.通信信道的间隙增大,减小信道的相互干扰 等等 1.5 GSM 和CDMA 都是移动通信的标准,请写出GSM 和CDMA 的英文全称和中文含意。(提示:可以在互联网上搜索。) 解: GSM 是Global System for Mobile Communications 的缩写,意为全球移动通信系统。 CDMA 英文全称是Code Division Multiple Address,意为码分多址。 1.6有一个C=10pF 的电容器,引脚的分布电感为L=2nH 。请问当频率f 为多少时,电容器开始呈现感抗。 解: 11 1.1252wL f GHz wC π=?==

既当f=1.125GHz 时,电容器为0阻抗,f 继续增大时,电容器呈现感抗。 1.7 一个L=10nF 的电容器,引脚的分布电容为C=1pF 。请问当频率f 为多少时,电感器开始呈现容抗。 解: 思路同上,当频率f 小于1.59 GHz 时,电感器呈现感抗。 1.8 1)试证明(1.2)式。2)如果导体横截面为矩形,边长分别为a 和b ,请给出射频电阻R RF 与直流电阻R DC 的关系。 解: R l s =ρσ l ρ, ,s 对于同一个导体是一个常量 当直流时,横截面积2DC S a π= 当交流时,横截面积2AC S a π=? 得:222DC AC R a a R a ππ==?? 2)直流时,横截面积DC S ab = 当交流时,横截面积()()AC S ab a b =--?-? 得:[()()]DC AC R ab R ab a b =--?-? 1.9已知铜的电导率为66.4510/Cu S m σ=?,铝的电导率为64.0010/Al S m σ=?,金的电导率 为64.8510/Au S m σ=?。试分别计算在100MHz 和1GHz 的频率下,三种材料的趋肤深度。 解: 趋肤深度?定义为: ?=在100MHz 时: Cu 为2 mm Al 为 2.539mm Au 为 2.306mm 在1GHz 时: Cu 为0.633 mm Al 为 0.803mm Au 为 0.729mm 1.10某个元件的引脚直径为d=0.5mm ,长度为l =25mm ,材料为铜。请计算其直流电阻R DC 和在1000MHz 频率下的射频电阻R RF 。 解:

射频工程师必读书籍

ADS,MWO,Ansoft还是CST、HFSS 频微波类书 希望对大家有点帮助: 1.《射频电路设计--理论与应用》『美』Reinhold Ludwig 著电子工业出版社 个人书评:射频经典著作,建议做RF的人手一本,里面内容比较全面,这本书要反复的看,每读一次都会更深一层理解. 随便提一下,关于看射频书籍看不懂的地方怎么办?我提议先看枝干或结论有个大概印象,实在弄不明白就跳过(当然可问身边同事同学或GOOGLE一下),跳过不是不管它了,而是尽量先看完自己能看懂的,看第二遍的时候再重点抓第一次没有看懂的地方,人的思维是不断升华的,知识的也是一个系统体系,有关联的,当你把每一块砖弄明白了,就自然而然推测出金字塔塔顶是怎么架设出来的。 2. 《射频通信电路设计》『中』刘长军著科学技术出版社 个人书评:有拼凑之嫌(大量引用书1和《微波晶体管放大电路分析与设计》内容),但还是有可取之处,加上作者的理解,比看外文书(或者翻译本)看起来要通俗易懂,毕竟是中国人口韵。值得一看,书上有很多归纳性的经验. 3.《高频电路设计与制作》『日』市川欲一著科学技术出版社 个人书评:本人说实话比较喜欢日本人写书的风格和语言,及其通俗,配上图示,极其深奥的理论看起来明明朗朗,比那些从头到尾只会搬抄公式的某些教授强们多了,本书作者的实践之作,里面都是一些作者的设计作品和设计方法,推荐一看. 4. 《LC滤波器设计与制作》『日』森荣二著科学技术出版社 个人书评:语言及其通俗易懂,完全没有深奥的理论在里面,入门者看看不错,但是设计方法感觉有点落后,完全手工计算.也感觉内容的太细致,此书一般. 5. 《振荡电路设计与应用》『日』稻叶宝著科学技术出版社 个人书评:这边书还不错,除了学到振荡电路设计,还学到了很多模拟电路的基础应用,唯一缺点书中的内容涉及频率的都不够高(k级,几M,几十,几百M的振荡器),做有源电路的可以看一下,整体感觉还行. 6. 《锁相环电路设计与应用》『日』远坂俊昭著科学技术出版社 个人书评:对PLL原理总是搞不太明白的同学可以参考此书,图形图片很多,让人很直观明白,比起其他PLL书只会千篇一律写公式强千倍。好书,值得收藏! 7. 《信号完整性分析》『美』Eric Bogatin 著电子工业出版社 个人书评:前几章用物理的方法看电子,感觉不好理解,写的感觉很拗口,翻译好像也有些不到位,但后面几章写的确实好,尤其是关于传输线的,对你理解信号的传输的实际过程,能建立一个很好的模型,推荐大家看一下,此书还是不错的.(看多了RF的,换换胃口)8. 《高速数字设计》『美』Howard Johnson著电子工业出版社 个人书评:刚刚卓越买回来,还没有动“她”呢,随便翻了下目录,做高速电路和PCB Layout 的工程师一看要看下,这本书也是经典书喔! 9.《蓝牙技术原理开发与应用》『中』钱志鸿著北京航空航天大学出版社 个人书评:当时自己做蓝牙产品买的书,前2年仅有的几本,上面讲了一下蓝牙的基本理论(恰当的说翻译了蓝牙标准),软件,程序的东西占大部分内容. 10.《EMC电磁兼容设计与测试案例分析》『中』郑军奇著电子工业出版社 个人书评:实战性和很强的一本书,本人做产品经常要送去信息产业部电子研究5所做EMC 测试,认证.产品认证是产品成功的临门一脚,把这脚球踢好,老板会很赏识你的,如果你也负责产品的EMC,这本书必读。作者写有很多实例,很有代表性,对你解决EMC问题,会有引导性(指导性)的的意义。

《射频通信电路》习题集及解答

习题1: 1.1本书使用的射频概念所指的频率范围是多少? 解: 本书采用的射频范围是30MHz~4GHz 1.2列举一些工作在射频范围内的电子系统,根据表1-1判断其工作波段,并估算相应射频 信号的波长。 解: 广播工作在甚高频(VHF )其波长在10~1m 等 1.3从成都到上海的距离约为1700km 。如果要把50Hz 的交流电从成都输送到上海,请问 两地交流电的相位差是多少? 解: 8 44 3100.6501700 0.28333 0.62102 v km f k k λθπ?===?10==?10?== 1.4射频通信系统的主要优势是什么? 解: 1.射频的频率更高,可以利用更宽的频带和更高的信息容量 2.射频电路中电容和电感的尺寸缩小,通信设备的体积进一步减小 3.射频通信可以提供更多的可用频谱,解决频率资源紧张的问题 4.通信信道的间隙增大,减小信道的相互干扰 等等 1.5 GSM 和CDMA 都是移动通信的标准,请写出GSM 和CDMA 的英文全称和中文含意。 (提示:可以在互联网上搜索。) 解: GSM 是Global System for Mobile Communications 的缩写,意为全球移动通信系统。 CDMA 英文全称是Code Division Multiple Address,意为码分多址。 1.6有一个C=10pF 的电容器,引脚的分布电感为L=2nH 。请问当频率f 为多少时,电容 器开始呈现感抗。 解: 11 1.1252wL f GHz wC π=?==

既当f=1.125GHz 时,电容器为0阻抗,f 继续增大时,电容器呈现感抗。 1.7 一个L=10nF 的电容器,引脚的分布电容为C=1pF 。请问当频率f 为多少时,电感器 开始呈现容抗。 解: 思路同上,当频率f 小于1.59 GHz 时,电感器呈现感抗。 1.8 1)试证明(1.2)式。2)如果导体横截面为矩形,边长分别为a 和b ,请给出射频 电阻R RF 与直流电阻R DC 的关系。 解: R l s =ρσ l ρ, ,s 对于同一个导体是一个常量 当直流时,横截面积2 DC S a π= 当交流时,横截面积2AC S a π=? 得: 2 22DC AC R a a R a ππ==? ? 2)直流时,横截面积DC S ab = 当交流时,横截面积()()AC S ab a b =--?-? 得: [()()]DC AC R ab R ab a b =--?-? 1.9已知铜的电导率为66.4510/Cu S m σ=?,铝的电导率为6 4.0010/Al S m σ=?,金的电导率 为6 4.8510/Au S m σ=?。试分别计算在100MHz 和1GHz 的频率下,三种材料的趋肤深度。 解: 趋肤深度?定义为:?=在100MHz 时: Cu 为2 mm Al 为 2.539mm Au 为 2.306mm 在1GHz 时: Cu 为0.633 mm Al 为 0.803mm Au 为 0.729mm 1.10某个元件的引脚直径为d=0.5mm ,长度为l =25mm ,材料为铜。请计算其直流电阻 R DC 和在1000MHz 频率下的射频电阻R RF 。 解:

最新射频电路设计原理与应用

射频电路设计原理与 应用

【连载】射频电路设计——原理与应用 相关搜索:射频电路, 原理, 连载, 应用, 设计 随着通信技术的发展,通信设备所用频率日益提高,射频(RF)和微波(MW)电路在通信系统中广泛应用,高频电路设计领域得到了工业界的特别关注,新型半导体器件更使得高速数字系统和高频模拟系统不断扩张。微波射频识别系统(RFID)的载波频率在915MHz和2450MHz频率范围内;全球定位系统(GPS)载波频率在1227.60MHz和1575.42MHz的频率范围内;个人通信系统中的射频电路工作在1.9GHz,并且可以集成于体积日益变小的个人通信终端上;在C波段卫星广播通信系统中包括4GHz的上行通信链路和6GHz的下行通信链路。通常这些电路的工作频率都在1GHz以上,并且随着通信技术的发展,这种趋势会继续下去。但是,处理这种频率很高的电路,不仅需要特别的设备和装置,而且需要直流和低频电路中没有用到的理论知识和实际经验。 下面的内容主要是结合我从事射频电路设计方向研究4年来的体会,讲述在射频电路设计中必须具备的基础理论知识,以及我个人在研究和工作中累积的一些实际经验。 作者介绍 ChrisHao,北京航空航天大学电子信息工程学院学士、博士生;研究方向为通信系统中的射频电路设计;负责或参与的项目包括:主动式射频识别系统设计、雷达信号模拟器射频前端电路设计、集成运算放大器芯片设计,兼容型GNSS接收机射频前端设计,等。 第1章射频电路概述 本章首先给出了明确的频谱分段以及各段频谱的特点,接着通过一个典型射频电路系统以及其中的单元举例说明了射频通信系统的主要特点。 第1节频谱及其应用 第2节射频电路概述 第2章射频电路理论基础 本章将介绍电容、电阻和电感的高频特性,它们在高频电路中大量使用,主要用于:(1)阻抗匹配或转换(2)抵消寄生元件的影响(扩展带宽)(3)提高频率选择性(谐振、滤波、调谐)(4)移相网络、负载等 第1节品质因数 第2节无源器件特性 第3章传输线 工作频率的提高意味着波长的减小,当频率提高到UHF时,相应的波长范围为10-100cm,当频率继续提高时,波长将与电路元件的尺寸相当,电压和电流不再保持空间不变,必须用波的特性来分析它们。 第1节传输线的基本参数 第2节终端带负载的传输线分析 (1) 第3节终端带负载的传输线分析 (2) 第4章史密斯圆图 为了简化反射系数的计算,P.H.Smith开发了以保角映射原理为基础的图解方法。这种近似方法的优点是有可能在同一个图中简单直观的显示传输线阻抗以及反射系数。本小节将对史密斯圆图进行系统的介绍。第1节史密斯圆图

射频电路和射频集成电路线路设计

射频电路和射频集成电路线路设计(9天) 培训时间为9天 课程特色 1)本讲座总结了讲演者20多年的工作,报告包括 o设计技术和技巧的经验, o获得的美国专利, o实际工程设计的例子, o讲演者的理论演译。 o 【主办单位】中国电子标准协会 【协办单位】智通培训资讯网 【协办单位】深圳市威硕企业管理咨询有限公司 o 2)本讲座分为三个部分: A. 第一部分讨论和強调在射频电路设计中的设计技术和技巧, 着重论述设计中关鍵性 的技术和技巧,譬如,阻抗匹配,射频接地, 单端线路和差分线路之間的主要差別,射频集成电路设计中的难题……可以把它归类为橫向论述. 到目前为止,这种着重于设计技巧的論述是前所未有的,也是很独特的。讲演者认为,作为一位合格的射频电路设计的设计者,不论是工程师,还是教授,应当掌握这一部分所论述的基本的设计技术和技巧,包括: ?阻抗匹配; ?接地; ?射频集成电路设计; ?测试 ?画制版图; ? 6 Sigma 设计。 B. 第二部分: 描述射频系统的基本参数和系统设计的基本原理。

C. 第三部分: 提供个别射频线路设计的基本知识。这一部份和现有的有关射频电路和 射频集成电路设计的书中的论述相似, 其內容是讨论一个个射频方块,譬如,低噪声放大器,混频器,功率放大器,壓控振蕩器,頻率综合器……可以把它归类为纵向论述,其中的大多数内容来自本讲座的讲演者的设计 ?在十几年前就已经找到了最佳的低噪声放大器的设计方法但不曾经发表过。在低噪声放大器的设计中可以同时达到最大的增益和最小的噪 声; ?获得了可调谐濾波器的美国专利; ?本讲座的讲演者所建立的用单端线路的设计方法来进行差分对线路的设计大大简化了设计并缩短了线路仿真的时间; ?获得了双线巴伦的美国专利。 学习目标在本讲座结束之后,学员可以了解到 o比照数码电路,射頻电路设计的主要差別是什麼? o什么是射频设计中的基本概念? o在射频电路设计中如何做好窄带的阻抗匹配? o在射频电路设计中如何做好宽带的阻抗匹配? o在射频线路板上如何做好射频接地的工作? o为什么在射频和射频集成电路设计中有从单端至双差分的趋势? o为什么在射频电路设计中容许误差分析如此重要? o什么是射频和射频集成电路设计中的主要难题?射频和射频集成电路设计师如何克服这些障碍?

经典Wifi射频电路的设计与调试

一:WiFi产品的一般射频电路设计(General RF Design In WiFi Product) 2011-01-20 18:18:41 写在前面的话: 这篇文章是我结合多年的工作经验和实践编写而成的,具有一定的实用性,希望能够对大家的设计工作起到一定的帮助作用。 I. 前言 这是一篇针对性很强的技术文章。在这篇文章中,我只是分析研究了Wi-Fi产品的一般射频电路设计,而且主要分析的是Atheros 和Ralink的解决方案,对于其他厂商的解决方案并没有进行研究。 这是一篇针对性很不强的技术文章。在这篇文章中,我研究,讨论了Wi-Fi产品中的射频电路设计,包括各个组成部分,如无线收发器,功率放大器,低噪声放大器,如果把这里的某一部分深入展开讨论,都可以写成一本很厚的书。 这篇文章具有一般性。虽然说这篇文章主要分析了Atheros和Ralink的方案,但是这两家厂商的解决方案很具有代表性,而且具有很高的市场占有率,因此,大部分Wi-Fi 产品也必然是具有一致或者类似的架构。经常浏览相关网站的人一定知道,在中国市场热卖的无线路由器,无线AP很多都是这两家的解决方案。 这篇文章具有一定的实用性。这篇文章的编写是基于我们公司的二十余种参考设计电路,充分吸收了参考设计的精华,并提取其一般性,同时,本文也重在分析实际的电路结构和选择器件时应该注意的问题,并没有进行深入的理论研究,所以,本文具有一定的实用性。 这篇文章是我在自己的业余时间编写的(也可以说我用这种方式消磨时间),如果这篇文章能够为大家的工作带来一点帮助,那将是我最高兴的事。我平时喜欢关注一些业界的新技术新产品,但是内容太多,没有办法写在文章中,感兴趣的同事可以访问我的博客:https://www.wendangku.net/doc/043092613.html,。研发设计千人群(电子+结构) 在这里,实现资源共享,人脉扩张! 群号229369157 229369157 由于时间有限,编写者水平更加有限,错误之处在所难免,欢迎大家批评指正。 第1章. 射频设计框图 做技术的,讲解某个设计的原理时,都会从讲解框图开始,本人也不例外,先给大家展示一下Wi-Fi产品的一般射频设计框图。

无线通信射频器件分类与原理

射频器件分类与原理

目录 1.几种通信协议 2.电磁波的传播及频段划分 3. 基站的基本构造和工作路径 4. 天馈系统的基本构造和工作路径 5. 滤波器介绍(重点讲带通滤波器) 6. 其它微波器件简介 7. 大功率问题以及互调问题 8. 常见问题交流

通信协议简介

几种通信协议 GSM:Global System for Mobile Communication(DCS/PCS)GPRS:General Package Radio Service EDGE:Enhanced Data rates for Global Evolution CDMA:Code Division Multiple Access CDMA2000 WCDMA:Wideband Code Division Multiple Access TD-SCDMA:Time Division Synchronous Code Division Multiple Access PAS :Personal Access Phone System LTE:Long Term Evolution FDD:Frequency Division Duplex TD:Time Division

四代通信技术简表 *第1代:(first generation1G) 以模拟方式进行调制。代表为模拟移动网(905-915MHZ) *第2代:(second generation2G) 以数字语音传输技术为核心。代表为GSM/DCS/PCS (900/1.8/1.9), 第2.5代:(2.5 generation 2.5G) 2G与3G之间的过渡类型。比2G在速度、带宽上有所提高。可使现有GSM 网络轻易地实现与高速数据分组的简便接入。代表有GPRS,HSCSD、WAP、EDGE、蓝芽(Bluetooth)、EPOC等 第3代:(third generation 3G) 一般是指将无线通信与国际互联网等多媒体通信结合的新一代移动通信系统。代表有WCDMA,CDMA2000,TDS-CDMA 第4代(4th generation 4G) 第四代移动电话行动通信标准,该技术包括TD-LTE和FDD-LTE两种制式(目前我国只发放了TD的牌照,FDD还未发放)

射频电路设计--理论与应用

射频电路设计--理论与应用 第1章引言 1 1 射频设计的重要性 1 2 量纲和单位 1 3 频谱 1 4 无源元件的射频特性 1 4 1 高频电阻 1 4 2 高频电容 1 4 3 高频电感 1 5 片状元件及对电路板的考虑 1 5 1 片状电阻 1 5 2 片状电容 1 5 3 表面安装电感 1 6 小结 参考文献 习题 第2章传输线分析 2 1 传输线理论的实质 2 2 传输线举例 2 2 1 双线传输线 2 2 2 同轴线 2 2 3 微带线 2 3 等效电路表示法 2 4 理论基础 2 4 1 基本定律 2 5 平行板传输线的电路参量 2 6 各种传输线结构小结 2 7 一般的传输线方程 2 7 1 基尔霍夫电压和电流定律表示式2 7 2 行进的电压和电流波 2 7 3 阻抗的一般定义 2 7 4 无耗传输线模型 2 8 微带传输线 2 9 端接负载的无耗传输线 2 9 1 电压反射系数 2 9 2 传播常数和相速 2 9 3 驻波 2 10 特殊的终端条件 2 10 1 端接负载无耗传输线的输入阻抗2 10 2 短路传输线 2 10 3 开路传输线 2 10 4 1/4波长传输线

2 11 信号源和有载传输线 2 11 1 信号源的相量表示法 2 11 2 传输线的功率考虑 2 11 3 输入阻抗匹配 2 11 4 回波损耗和插入损耗 2 12 小结 参考文献 习题 第3章 Smith圆图  3 1 从反射系数到负载阻抗 3 1 1 相量形式的反射系数 3 1 2 归一化阻抗公式 3 1 3 参数反射系数方程 3 1 4 图形表示法 3 2 阻抗变换 3 2 1 普通负载的阻抗变换 3 2 2 驻波比 3 2 3 特殊的变换条件 3 2 4 计算机模拟 3 3 导纳变换 3 3 1 参数导纳方程 3 3 2 叠加的图形显示 3 4 元件的并联和串联 3 4 1 R和L元件的并联 3 4 2 R和C元件的并联 3 4 3 R和L元件的串联 3 4 4 R和C元件的串联 3 4 5 T形网络的例子 3 5 小结 参考文献 习题 第4章单端口网络和多端口网络 4 1 基本定义 4 2 互联网络 4 2 1 网络的串联 4 2 2 网络的并联 4 2 3 级连网络 4 2 4 ABCD网络参量小结 4 3 网络特性及其应用 4 3 1 网络参量之间的换算关系4 3 2 微波放大器分析 4 4 散射参量

手机layout和射频电路分析

某机型的layout方案 以射频器件面为layer1层射频基带 layer1:器件器件 layer2: signal 大部分地址和数据signal、部分模拟线(对应3层是地) layer3: GND 部分走线(包括键盘面以及2层走不下的线)、GND Layer4:带状线需穿过射频的基带模拟控制线(txramp_rf、afc_rf)、音频线、 基带主芯片之间的模拟接口线、主时钟线 Layer5: GND GND Layer6:电源层VBAT、LDO_2V8_RF(150mA)、VMEM(150mA)、VEXT(150mA)、VCORE(80mA) 、VABB(50mA)、VSIM(20mA) 、VVCXO(10mA) Layer7: signal 键盘面的走线 Layer8:器件器件 二.具体布线要求 1.总原则: 布线顺序:射频带状线及控制线(天线处)――基带射频模拟接口线(txramp_rf、afc_rf)――基带模拟线包括音频线与时钟线――模拟基带和数字基带接口线――电源线――数字线。 2. 射频带状线及控制线布线要求 RFOG、RFOD网络为第四层的带状线,线宽为3mil,其上下两层均用地包住,带状线宽度根据实际板材厚度、以及走线长来确定;由于带状线均需打2~7的孔,注意底层在这些孔附近用地包住,并且其他层走线不要离这些孔太近; RX_GSM、RX_DCS、RX_PCS网络为顶层射频接收信号线,线宽走8mil;RFIGN、RFIGP、RFIDN、RFIDP、RFIPN、RFIPP网络为顶层和第二层射频接收信号线,定层线宽走8mil,第二层线宽走4mil; GSM_OUT、DCS_OUT、TX_GSM、TX_DCS/PCS网络为顶层功放输出发射信号线,线宽走12mil 为宜; 天线开关输出到测试座、天线触点的顶层信号线ANT_1、ANT_2、ANT_3、ANT,线宽为12mil 为宜。 3. 与射频接口模拟线(走四层) TXRAMP_RF、AFC_RF网络的走线尽量加粗且两边用地线围住,线宽走6mil; QN_RF、QP_RF;IN_RF、IP_RF为两对差分信号线,请线长尽可能相等,且尽可能间距相等,在第四层的走线宽为6mil。 4. 重要的时钟线(走四层) 13MHz的晶体U108以及石英晶体G300部分为噪声敏感电路,其下面请尽量减少信号走线。石英晶体G300的两个端子OSC32K_IN、OSC32K_OUT步线时注意要平行走线,离D300越近越好。请注意32K时钟的输入和输出线一定不能交叉。 SIN13M_RF、CLK13M_IN、CLK13M_T1、CLK13M_T2、CLK13M_IN_X、CLK13M_OUT网络的走线请尽量短,两边用地线围住,走线的相邻两层要求都是地。

射频电路的PCB设计

射频电路的PCB设计 2012-12-04 22:27:53来源:互联网关键字: 电磁兼容Protel99SE射频电路PCB 本文就如何最大限度地实现电路的性能指标,以达到电磁兼容要求,在Protel99 SE软件进行掌上产品射频电路PCB的设计。 图1 射频电路PCB板 1、板材的选择 印刷电路板的基材包括有机类与无机类两大类。基材中最重要的性能是介电常数εr、耗散因子(或称介质损耗)tanδ、热膨胀系数CET和吸湿率。其中εr影响电路阻抗及信号传输速率。对于高频电路,介电常数公差是首要考虑的更关键因素,应选择介电常数公差小的基材。 2、PCB设计流程 由于Protel99 SE软件的使用与Protel 98等软件不同,因此,首先简要讨论采用Protel99 SE软件进行PCB设计的流程。 ①由于Protel99 SE采用的是工程(PROJECT)数据库模式管理,在Windows 99下是隐含的,所以应先键立1个数据库文件用于管理所设计的电路原理图与PCB版图。 ②原理图的设计。为了可以实现网络连接,在进行原理设计之间,所用到的元器件都必须在元器件库中存在,否则,应在SCHLIB中做出所需的元器件并存入库文件中。然后,只需从元器件库中调用所需的元器件,并根据所设计的电路图进行连接即可。 ③原理图设计完成后,可形成一个网络表以备进行PCB设计时使用。 ④PCB的设计。 a、PCB外形及尺寸的确定。根据所设计的PCB在产品的位置、空间的大小、形状以及与其它部件的配合来确定PCB的外形与尺寸。在MECHANICAL LAYER层用PLACE TRACK命令画出PCB的外形。 b、根据SMT的要求,在PCB上制作定位孔、视眼、参考点等。 c、元器件的制作。假如需要使用一些元器件库中不存在的特殊元器件,则在布局之前需先进行元器件的制作。在Protel99 SE中制作元器件的过程比较简单,选择“DESIGN”菜单中的“MAKE LIBRARY”命令后就进入了元器件制作窗口,再选择“TOOL”菜单中的“NEW COMPONENT”命令就可以进行元器件的设计。这时只需根据实际元器件的形状、大小等在TOP LAYER层以PLACE PAD等命令在一定的位置画出相应的焊盘并编辑成所需的焊盘(包括焊盘形状、大小、内径尺寸及角度等,另外还应标出焊盘相应的引脚名),然后以PLACE TRACK命令在TOP OVERLAYER层中画出元器件的最大外形,取一个元器件名存入元器件

射频电路设计原理与应用

【连载】射频电路设计——原理与应用 相关搜索:射频电路, 原理, 连载, 应用, 设计 随着通信技术的发展,通信设备所用频率日益提高,射频(RF)和微波(MW)电路在通信系统中广泛应用,高频电路设计领域得到了工业界的特别关注,新型半导体器件更使得高速数字系统和高频模拟系统不断扩张。微波射频识别系统(RFID)的载波频率在915MHz和2450MHz频率范围内;全球定位系统(GPS)载波频率在1227.60MHz和1575.42MHz的频率范围内;个人通信系统中的射频电路工作在1.9GHz,并且可以集成于体积日益变小的个人通信终端上;在C波段卫星广播通信系统中包括4GHz的上行通信链路和6GHz的下行通信链路。通常这些电路的工作频率都在1GHz以上,并且随着通信技术的发展,这种趋势会继续下去。但是,处理这种频率很高的电路,不仅需要特别的设备和装置,而且需要直流和低频电路中没有用到的理论知识和实际经验。 下面的内容主要是结合我从事射频电路设计方向研究4年来的体会,讲述在射频电路设计中必须具备的基础理论知识,以及我个人在研究和工作中累积的一些实际经验。 作者介绍 ChrisHao,北京航空航天大学电子信息工程学院学士、博士生;研究方向为通信系统中的射频电路设计;负责或参与的项目包括:主动式射频识别系统设计、雷达信号模拟器射频前端电路设计、集成运算放大器芯片设计,兼容型GNSS接收机射频前端设计,等。 第1章射频电路概述 本章首先给出了明确的频谱分段以及各段频谱的特点,接着通过一个典型射频电路系统以及其中的单元举例说明了射频通信系统的主要特点。 第1节频谱及其应用 第2节射频电路概述 第2章射频电路理论基础 本章将介绍电容、电阻和电感的高频特性,它们在高频电路中大量使用,主要用于:(1)阻抗匹配或转换(2)抵消寄生元件的影响(扩展带宽)(3)提高频率选择性(谐振、滤波、调谐)(4)移相网络、负载等 第1节品质因数 第2节无源器件特性 第3章传输线

相关文档