文档库 最新最全的文档下载
当前位置:文档库 › ModelSim教学

ModelSim教学

ModelSim教学
ModelSim教学

ModelSim教學

準備事項

1.ModelSim試用版下載

2.範例程式下載 (史丹佛大學一門課的期末專題Implememtation of Viterbi

Decoder:constrain length K=3, code rate R=1/2, register-exchange)

整個project共含7個Verilog程式:system.v (top-level)

|-- clkgen.v

|-- chip_core.v

|-- controller.v

|-- spu.v

|-- acs4.v

|-- acs1.v

(或是另外一個Verilog的簡單例子,可以從C:\ SynaptiCAD\ Examples\ TutorialFiles\

VeriLoggerBasicVerilogSimulation\ add4.v and add4test.v)

(或是另外一個VHDL的簡單例子,可以從C:\ Modeltech_5.7e\ examples\ adder.vhd and testadder.vhd)

ModelSim PE /LE /SE 差別在哪?

本篇文章內容主要在教導軟體使用,以Verilog程式為範例。假設各位讀者已經熟悉Verilog,廢話不多說,讓我們馬上來見識一下ModelSim ...

快速上手四部曲:建立Project、引進HDL Files、Compile、模擬(Simulate/Loading and Run)

1.建立一個新的Project

1-1 第一次執行程式時,可以從[開始] \ [程式集] \ ModelSim SE \ ModelSim;或是執行ModelSim在桌面的捷徑

在Library 標籤頁中,展開各Library 就可以看到其下含的所有Package (for VHDL),進一步以Edit 打開,可檢視該Package 與Package Body 內容

1-2

File \ New \ Project ... 輸入project

name and Location

按OK 鍵後

? 指定的路徑下會產生一個

叫"work"的預設子資料夾,

還有Viterbi.cr.mti 、

Viterbi.mpf 兩個檔案

? 主操作畫面左邊的

Workspace 內,在原本的

Library 標籤外,會出現另一

個Project 標籤(但此時裡面

內容是空的)

? 還會蹦出另一個"Add items

to the Project"視窗

.mpf 檔儲存的是此project

的相關資料,下次要開啟此

project 就是利用File \ Open

\ Project... 開啟此.mpf

若要移除之前建立的

project,請從File \ Delete

\ Project... 移除

2.載入Project 的HDL source codes

按"Add items to the Project"視窗中的"Add Existing File" (或是從File \ Add to Project \ Existing Files ...)

HDL files擺放的位置,路徑名稱不能有中文,否則軟體會抓不到files

關掉"Add items to the Project"視窗,此時的Project下出現了HDL File,一堆問號表示這些檔案都還沒compile。

如果要對project新增或移除HDL File:

在Workspace內按滑鼠右鍵,選擇Add to Project \ Existing File... (新增)

Remove from Project(移除)

https://www.wendangku.net/doc/087652800.html,pile

在Project標籤頁內,選定任一檔案,按滑鼠右鍵選擇Compile \ Compile All或是直接按icon

Compile Out-of-Data只重新compile有修改過的檔案(比較節省時間,故也較常用)

此刻只做Compile 還沒做Simulate,而ModelSim必須要作完Simulate (Loading)

後才會把所有檔案link起來。如果compiler的結果出現有errors或warnings的訊息,直接在該訊息上雙擊,即可進一步帶出詳細的訊息說明。

如果您處理的是VHDL project,那在做Compile前,先在Project標籤頁內,選定所有檔案,按滑鼠右鍵選擇"Properties"做如下設定:

4.Simulate (Loading)

切換到Library標籤頁,展開"work"目錄,其下可以看到此project包含的所有檔案,檔案前的符號"M",表示這些檔案的性質是"Module";如果你寫的是VHDL程式那檔案前所看到的符號會變成"E"(Entity) and "A"(Architecture)。

如果compiler完,work目錄底下仍然看不到東西,無法展開,請稍等5~10秒(等程式update最新狀態),或是按滑鼠右鍵選擇"Update"重新整理

4-1 直接以滑鼠左鍵雙擊要Simulate 的檔案,或是按滑鼠右鍵選擇Simulate。

此處選擇要Simulate (Loading)的檔案不一定要是top-level file,但如果你選的不是top-level file,project中的sub-ciricuit必須一個一個load,比較麻煩。

請注意,這裡的"Simulate"並沒有真的做模擬,只是把程式"Loading"進來,並將sub-module 彼此間link 起來

Loading 要simulate 的檔案的動作,也可以按Simulate icon 來完成

執行以上動作時,如果再附加一個設定步驟:到Options標籤頁下,核選"Enable

source file coverage",即可在模擬的程序中,附帶幫你檢查testbench對於if / case conditional statement的模擬涵蓋率。

執行完Simulate (Load)的動作後,程式會自動再跳出兩個標籤頁Sim與Files,此時在Sim下可以看到整個Project的Hierarchy關係

要編輯HDL file,可以從Project(顯示檔案的狀態)或File標籤頁內雙擊HDL檔案以開啟編輯視窗(edit window)。sim標籤頁內雖然也有列出所有HDL檔案,但雙擊HDL 檔案開啟的是來源視窗(source window),不能edit。

檔案編輯(修改)完成(存檔)後,回到Project標籤頁內看該檔案的狀態時,會發現它變成未compile的問號,要重新對它做compile,把目前的Simulate關掉(Simulate \ End Simulation...),再重新load一次,才能對新的程式做模擬。

若要查看此project架構,必須切換到Sim標籤頁;不像VeriLogger Pro只要

Compiler完,就可以在同一個Project畫面下看到整個project structure / hierarchy。Sim標籤頁另外一個有趣的功能是:在Sim標籤頁內選定哪一個module,其相對的內容會自動update 於signals、source、edit...等window (如果你有開啟這些視窗的話)。

另外,source與edit window兩者都可用來查看模擬時的某一個變數值或設定中斷點,但前者不可編輯。

?Project 標籤頁用以顯示HDL檔案的狀態(也可以開啟其編輯視窗)

?Library 標籤頁用以選定要Simulate的檔案

?Sim標籤頁用以查看project structure與開啟某module的來源視窗

?File 標籤頁用以開啟某HDL檔案的編輯視窗

==> 四個功能拆成四個設定頁,這是ModelSim比較耍寶的地方。

以下,我們接著要把想觀察的訊號引出來,然後"Run" simulation ...

4-2 在Sim標籤頁內選定top-level file (system.v),打開signals window:View \ Signals就可以看到top-level file的所有I/O signal。

4-3 在signals window的左半邊欄位內選定想看的訊號(如orig_bit_s1、y1_s1、y2_s1、decoded_coulmn_s1),按滑鼠右鍵Add to Wave \ Selected Signals,會跳出另一個"wave window"並把您想觀察的訊號都列出來

直接把signals window 的訊號拖曳到wave window 也可以(訊號的排列次序也

可以用左鍵拖曳搬移)。

訊號的排列次序,可以直接用滑鼠拖曳調整。

如果要看的是module / sub-module 所有訊號,4-2~4-3步驟可以一次完成:

4-4 切回主視窗,Simulate \ Run \ Run 100ns --> 預設Run length=100ns

(一次跑100ns;可以更改)

Simulate \ Run \ Run-All--> 跑到按"Break" 才

暫停

--> 按"Continue Run" 則繼續

Simulate \ Run \ Step --> 單步執行程式(for debugging)

Simulate \ Run \ Restart --> 重新執行模擬

程式執行暫停或是跑到中斷點時,要查看任一訊號或變數的數值,方法有三種

?從wave window查看

?游標指到source or edit視窗內的該變數,即會顯示該變數當時的數值

?View \ Variables

模擬結果如下

如果想要看所有波形的範圍:View \ Zoom \ Zoom Full

以滑鼠左鍵在波形顯示區域點一下,就會出現黃色的垂直座標線(cursor, 參閱step 5-4)。

直接在訊號波形上雙擊,會帶出Dataflow window (step 5-3);如果你是在紅色的

(unknown)線上雙擊,還可以進一步的在Dataflow window內選定該unknown signal 的wire,按滑鼠右鍵選擇TraceX 協助你debug

如果訊號不想以預設的二進位表示,可以在wave window內選定該訊號名稱,按滑鼠右鍵選擇Signal Properties...,然後選擇表示方法(如十進位表示Decimal)。

5. Dataflow window (for debugging and tracing)

"Dataflow window"可用來檢視所設計的電路,其訊號的實際連接情況(Drivers / Receivers),也就是顯示訊號在執行模擬的過程中,經過哪些程序(process),開啟的方法有兩個:

?直接雙擊wave window的訊號波形,會自動跳出dataflow window,其中顯示該訊號的連接情況;以這種方法帶出dataflow window會在

下方同時顯示wave viewer,不需要的話可以從View\Show Wave取

消。

從ModelSim主視窗中的View\Dataflow開啟視窗,從signals window 將要看的訊號"拖曳"到Dataflow window

5-1 假設我們要看的是orig_del_bit_s1訊號,此時Dataflow window顯示如下:

5-2如果想進一步察看此訊號的Receiver,選定其輸出連線(red highlight),

按 (expand net to all readers, ie. dow-stream circuit) 就會顯示如下結果: (直接以滑鼠左鍵雙擊red highlighted line也有同樣效果)

同理,可以使用查看訊號的Drivers (ie. up-stream circuit)、使用查看訊號的Drivers and Receivers。

(Erase All):清除dataflow window

5-3從dataflow視窗的View\Show Wave,打開embedded wave viewer 選定dataflow視窗內的元件#ASSIGN#104,此時會看到wave視窗內列出該元件的所有I/O:

(此時如果有打開edit or source window,會自動顯示出元件#ASSIGN#104在原始碼的相對位置)

5-4執行模擬3500 ns,結果如下:可以看到此cell的輸入觸發輸出的情況

1.選定想要用cursor測量觸發時間點的訊號

2.以滑鼠左鍵在wave顯示視窗上點一下,cursor(黃色垂直線含時間座

標)會自動出現

3.利用"Finder Previous Transition"、"Finder Next Transition"兩個icons,

可以讓cursor自動貼到trigger edge,以便正確的量測觸發時間點。此

功能非常便於尋找訊號的觸發/轉態點(active point)

如果想將某一個cursor快速顯示在wave window內(將顯示波形範圍移到該處):View \ Cursors \ 選擇想跳至的cursor

5-5如何step by step追蹤output被input觸發的情況呢?

1.選定想要追蹤被觸發情況的訊號

2.按 "Trace input net to event" icons

3.自動產生另一個cursor指到前一個觸發output的input時間點,多按

幾次"Trace input net to event",會看到如下圖所示的情況,兩個cursor

之間的時間間距,為白色文字所顯示的100 ns。

6.如果只要編輯與Compile HDL file

File \New \ Source \ VHDL or Verilog or Other

或是

File \Add to Project \ New File (或是在Project標籤內,按滑鼠右鍵Add to Project \ New File)

7.儲存檔案並關閉程式

File \ Save \ ...

Simulate \ End Simulation...

File \ Close \ Project (要先關掉simulation,project才能關掉)

File \ Quit (直接Quit 最快,可以省下上面關閉Simulation、Project的動作)

8.重新開啟Project

File \ Open \ Project... (選擇.mpf檔)

9.進一步訊息,請參考

Help \ SE PDF Documentation \ Tutorials 線上使用手冊

一些值得進一步參閱的功能:creating and viewing datasets、performance

analyzer、code coverage ...

其他用途:(以下這些做法,必須在工作站下執行,PC環境下產生的檔案不能用)

如何用ModelSim產生.vcd檔(Value Change Dump)

在testbench內加入以下這段程式,然後執行ModelSim從compile -> Simulate -> Run -All,關閉ModelSim後,就會在工作目錄下看見"file_name.vcd"。

?如何用ModelSim產生.fsdb檔

在testbench內加入以下這段程式,然後執行ModelSim從compile -> Simulate -> Run -All,關閉ModelSim後,就會在工作目錄下看見"file_name.fsdb"。

要用ModelSim產生.fsdb的條件是:跑ModelSim的環境下必須有安裝Debussy,

否則ModelSim會說它看不懂"fsdbDumpfile"這個指令

?如何用ModelSim產生.vec檔 (vector file)

在testbench內加入以下這段程式,然後執行ModelSim從compile -> Simulate -> Run -All,關閉ModelSim後,就會在工作目錄下看見"add4.vec"。

您必須依自己的需要,在header information那幾行稍做修改,改成你design的

I/Os(此處所列是一個4-bit full-adder的vector範例)。這是目前我所知道產生vector file最快的方法,否則你就必須先從testbench.v轉成file.vcd再轉成file.vec。

系統任務(system task)命令$monitor, $fmonitor, $display, $fdisplay的用法,請自行參閱Verilog書籍[2]sec. 3-3, sec. 9-5

相關資源

Art of Writing TestBench -- 一個專門教導寫TestBench的網站

參考用書

1. VHDL與FPGA設計,胡振華編著,全華,2002 (含ModelSim使用介紹)

2. Verilog HDL -- A Guide to Digital Design and Synthesis,原著Samir

Palnitkar,有中文版(全華)

3.VHDL初學者指南--VHDL Starter's Guide,原著SudhakarYalamanchili,吳中

浩編譯,全華2000

4.VHDL概論, 由模擬到合成,原著SudhakarYalamanchili,吳中浩編譯,全華

2002

ModelSim使用的一点心得体会

ModelSim使用的一点心得- - 1、至今还没有弄明白为什么要用ModelSim,因为看波形Quartus II自带的工具就可以了啊。 2、我刚刚接触modelsim,我想大多数菜鸟跟我一样,看过如何使用ModelSim的介绍,说句实话,那些介绍写的都太过简单,仿佛大家都不屑写上一些比较“弱智”的步骤,恰恰就是这些看似累赘的步走,难为我好久。 教程上都写道,modelsim的简单使用方法如下:建立库- 影射库到物理目录- 编译代码- 启动仿真。首先建立库就叫我头晕。库的概念用在这儿实在不合适,把我吓坏了,也就没心思看下一步了。在我看来,教程应该这么写: <1> 建立一个目录modelsimStudy。用任何文本编辑器编辑一个简单的例子程序,比如计数器counter.vhd。有clk、ena、reset输入,cnt作为输出。 <2> 打开Modelsim,首先create project,工程名随意取了,比如命名为test,目录设在modelsimStudy下,默认的库work不变(这样就不用管什么建立库之类的东西了)。然后add existing file,把counter.vhd加入当前工程。 <3> 编译这个文件,通过之后,work下面会出现counter这个实体。然后选中它,右键,simulate(左键双击也可)。 <4> ModelSim有很多窗口(新手就怕这个),一开始只要选择view下面的objects 和wave窗口就行了。旧版的signal窗口在6.0的版本改名为Objects(这个我是摸索了好久才发现的,是不是太笨了?)。wave窗口刚打开时是空的,需要在objects窗口的add -> wave -> signals in region。这时,wave上就有clk\ ena \ reset等信号了。 <5> 我们给clk来个输入激励,在object窗口中选中clk,右键选clock,给它定义个时钟。

modelsim完美教程

准备事项 1.ModelSim试用版下载 2.范例程序下载(史丹佛大学一门课的期末专题Implememtation of Viterbi Decoder:constrain length K=3, code rate R=1/2, register-exchange) 整个project共含7个Verilog程序:system.v (top-level) |-- clkgen.v |-- chip_core.v |-- controller.v |-- spu.v |-- acs4.v |-- acs1.v (或是另外一个Verilog的简单例子,可以从C:\ SynaptiCAD\ Examples\ TutorialFiles\ VeriLoggerBasicVerilo gSimulation\ add4.v and add4test.v) (或是另外一个VHDL的简单例子,可以从C:\ Modeltech_5.7e\ examples\ adder.vhd and testadder.vhd) ModelSim PE /LE /SE 差别在哪? 本篇文章内容主要在教导软件使用,以Verilog程序为范例。假设各位读者已经熟悉Verilog,废话不多说,让我们马上来见识一下ModelSim ... 快速上手四部曲:建立Project、引进HDL Files、Compile、模拟(Simulate/Loading and Run) 1.建立一个新的Project 1-1 第一次执行程序时,可以从[开始] \ [程序集] \ ModelSim SE \ ModelSim;或是执行ModelSim在桌面的快捷方式

ModelSim-Altera_6.5仿真入门教程

平台 软件:ModelSim-Altera 6.5e (Quartus II 10.0) Starter Edition 内容 1 设计流程 使用ModelSim仿真的基本流程为: 图1.1 使用ModelSim仿真的基本流程 2 开始 2.1 新建工程 打开ModelSim后,其画面如图2.1所示。

图2.1 ModelSim画面 1. 选择File>New>Preject创建一个新工程。打开的Create Project对话框窗口,可以指定工程的名称、路径和缺省库名称。一般情况下,设定Default Library Name为work。指定的名称用于创建一个位于工程文件夹内的工作库子文件夹。该对话框如图 2.2所示,此外还允许通过选择.ini文件来映射库设置,或者将其直接拷贝至工程中。

图2.2 创建工程的对话框 2. 按照图2.3所示,设置Project Name为LED_FLOW,Project Location为D:/led_flow。 图2.3 输入工程信息 当单击OK按钮后,在主体窗口的下方将出现Project标签,如图2.4所示。 图2.4 Project标签

3. 之后,将出现Add Items to the Project的对话框,如图2.5所示。 图2.5 在工程中,添加新项目 2.2 在工程中,添加新项目 在Add Items to the Project对话框中,包括以下选项: ?Create New File——使用源文件编辑器创建一个新的Verilog、VHDL、TCL或文本文件?Add Existing File——添加一个已存在的文件 ?Create Simulation——创建指定源文件和仿真选项的仿真配置 ?Create New Folder——创建一个新的组织文件夹 1. 单击Create New File。打开图 2.6所示窗口。 图2.6 创建工程文件夹 2. 输入文件名称:LED_FLOW,然后选择文件类型为Verilog。

ModelSim用户手册

MODEL SIM 5.4版 使用指南 世界上最流行的VHDL语言模拟器 ModelSim /VHDL, ModelSim /VLOG, ModelSim /LNL, 和ModelSim /PLUS是由Model Technology开发,未经授权不得拷贝,复制,没有Model Technology 公司的书面许可不得进行其他任何形式的复制。 本手册上对程序的描述是经过许可的,未经允许不得使用和拷贝。 最终用户可以打印随产品附送的联机文件。 通过购买许可证可以获得数字和拷贝的打印权。 Microsystems公司的注册商标。 其他商标和注册商标都是其拥有者的财产ModelSim 是Model Technology公司的注册商标。 PostScript是Adobe Systems公司的注册商标。 UNIX是AT&T公司在美国和其他国家的注册商标。 FLEXlm是Globetrotter软件公司的商标。 IBM, AT,PC,ATX和RISC System/6000是IBM公司的商标。 Windows,Microsoft,和MS-DOS是Microsoft公司的注册商标。 OSF/Motif是OSF公司的商标。 SPARC(SUN公司的工作站系统)是SPARC国际公司的商标。 Sun Microsystems,Sun, SunOS 和 OpenWindows 是Sun Model Technology公司版权受保护,Model Technology公司授权用户可以打印在线文档(只能用于内部商业目的)。 2000年3月出版 Model Technology公司地址: 10450 SW Nimbus Avenue / Bldg. R-B Portland OR 97223-4347 USA 电话: 503-641-1340 传真: 503-526-5410 e-mail: support@https://www.wendangku.net/doc/087652800.html,, sales@https://www.wendangku.net/doc/087652800.html, 主页: https://www.wendangku.net/doc/087652800.html, 目录 介绍 5 前言 9 第一章创建一个项目 1-11 第二章 VHDL基础 2-19 第四章批模式仿真的运行 4-41 第五章在启动中执行指令 5-45 第六章 Tcl/Tk 和 ModelSim 6-47 第七章 Verilog 基础 7-63 第八章 VHDL/Verilog的混合仿真 8-81

Quartus ii 10.0教程(包含modelsim仿真)

Quartus ii 10.0教程 说明 本文的部分章节,来源于本人翻译的Terasic DE2-115的英文入门文档。 平台 硬件:艾米电子EP2C8-2010增强版套件 软件:Quartus II 10.0 + ModelSim-Altera 6.5e (Quartus II 10.0) Starter Edition 内容 ?典型的CAD流程 ?开始 ?新建工程 ?录入Verilog设计 ?编译设计 ?引脚分配 ?仿真设计电路 ?编程及配置到FPGA器件 ?测试设计电路 典型的CAD流程 计算机辅助设计(CAD)软件,使得运用可编程逻辑器件实现所需逻辑电路,变得容易。比如现场可编程门阵列(FPGA)。典型的FPGA CAD设计流程如图1所示。

图1 典型的FPGA CAD设计流程 CAD流程包含以下步骤: ?设计输入——所需电路可通过原理图方式或硬件描述语言方式(如Verilog或VHDL)进行设计。 ?综合——输入的设计被综合进入由逻辑元素(LEs,FPGA芯片提供)组成的电路中。 ?功能仿真——综合电路被测试以验证其功能是否正确,次仿真不考虑时序因素。 ?布局布线——CAD Fitter工具决定网表中定义的LEs如何布置成FPGA芯片中的实际LEs。 ?时序分析——分析已布局布线电路中的不同路径的传播延迟,用以指示所需电路的性能。 ?时序仿真——测试已布局布线电路,验证其是否在功能和时序上都正确。 ?编程及配置——设计的电路,通过编程配置开关,被实现到一个物理的FPGA芯片。 配置开关用于配置LEs和建立所需线路连接。 本指南介绍Quartus II软件的基本特征。展示如何使用Verilog硬件描述语言来设计和实现电路。使用GUI来实现Quartus II指令。通过本份指南,读者将学习到: ?新建工程 ?使用Verilog代码录入设计 ?将综合的电路布局到Altera FPGA ?分配电路的输入输出到FPGA上的指定引脚 ?仿真设计电路 ?编程配置艾米电子EP2C8核心板上的FPGA芯片 1. 开始 在Quartus II中设计的每个逻辑电路或子电路,叫做一个工程。软件每次运行一个工程,并将所有信息保存在单一文件夹中。欲开始一个新的逻辑电路设计,第一步就是新建一个文件夹来保存文件。为了保存本指南的设计文件,在D盘新建introtutorial文件夹。指南者运行的范例为一个简单的双路灯控电路。 打开Quartus II软件,将看到类似于图2的画面。该显示画面包括若干窗口,用户可使用鼠标选择,以访问Quartus II软件的相关功能。Quartus II提供的大多数命令都可用菜单形式来访问。例如,在图2中,在File标签下点击左键,可打开如图3所示的菜单。用左键单击Exit可退出Quartus II软件。

ModelSim软件仿真步骤教程

使用ModelSim模擬驗證HDL code 1.在模擬前先準備好我們要模擬的電路檔案(Verilog HDL,TestBench,…) 2. 打開ModelSim,新建一個Project,鍵入Project name 按OK。此處我們的library name 為default library name “work”不必更改。 3.然後再加入我們所要模擬的電路檔案(若尚未準備,可開啟新檔案再將code 鍵入)選Add Existing File,將我們已編輯好的檔案加入。 將我們所需要的檔案加入,按Browse選擇我們所需檔案count.v,

count_test.vt),按下OK。 再將先前所開啟的增加檔案的視窗關閉,按close。 4.按下compile all。

Compile成功沒有顯示出錯誤訊息, 則開始模擬波形 5.按下Simulation, 選擇檔案所在的Library (work), 點選TestBench的Module Name t_Gap_finder 按OK 6.承接上步驟將會跳出以下視窗,若要將所有訊號加入波型中觀察則選擇在 testbench的module name: count_tst按滑鼠右鍵選擇→ Add → Add to Wave。

7.在波型畫面按下Run All開始模擬 跑完後會跳出下面視窗選擇否則可觀察模擬波形,若按下是則會將ModelSim關閉。

8.觀察波形圖是否與功能符合,若與設計不符則修改設計並重複執行Step 4到 Step 8 Testbench語法 `timescale 1 ps/ 1 ps 前面的1ps代表程式中最小的時間單位 後面的1ps代表運算的精準度

QUARTUSⅡ10波形仿真(ModelSim)入门教程

QUARTUSⅡ10仿真(ModelSim)入门教程平台 软件:ModelSim-Altera 6.5e (Quartus II 10.0) Starter Edition 内容 1 设计流程 使用ModelSim仿真的基本流程为: 图1.1 使用ModelSim仿真的基本流程 2 开始 2.1 新建工程 打开ModelSim后,其画面如图2.1所示。 图2.1 ModelSim画面

1. 选择File>New>Preject创建一个新工程。打开的Create Project对话框窗口,可以指定工程的名称、路径和缺省库名称。一般情况下,设定Default Library Name为work。指定的名称用于创建一个位于工程文件夹内的工作库子文件夹。该对话框如图 2. 2所示,此外还允许通过选择.ini文件来映射库设置,或者将其直接拷贝至工程中。 图2.2 创建工程的对话框 2. 按照图2.3所示,设置Project Name为LED_FLOW,Project Location为D:/led_flow。 图2.3 输入工程信息 当单击OK按钮后,在主体窗口的下方将出现Create Project标签,如图2.4所示。 图2.4 Project标签 3. 之后,将出现Add Items to the Project的对话框,如图2.5所示。 图2.5 在工程中,添加新项目 2.2 在工程中,添加新项目 在Add Items to the Project对话框中,包括以下选项:

?Create New File——使用源文件编辑器创建一个新的Verilog、VHDL、TCL或文本文件 ?Add Existing File——添加一个已存在的文件 ?Create Simulation——创建指定源文件和仿真选项的仿真配置 ?Create New Folder——创建一个新的组织文件夹 1. 单击Create New File。打开图 2.6所示窗口。 图2.6 创建工程文件夹 2. 输入文件名称:LED_FLOW,然后选择文件类型为Verilog。 图2.7 输入工程文件信息 3. 单击OK,关闭本对话框。新的工程文件将会在工程窗口显示。单击Close,以关闭Add Items to the Project。 图2.8 新的设计文件LED_FLOW.v 4. 双击打开LED_FLOW.v文件(注意:若是Verilog文件已经关联了其他的文本编辑器,则双击后在关联的文本编辑器中打开)。 图2.9 LED_FLOW代码输入窗口 在LED_FLOW.v输入下面的测试平台代码:

modelsim 使用指南

Modelsim使用简明指南 1 前言 作为一种简单易用,功能强大的逻辑仿真工具,Modelsim具有广泛的应用。这里对ModelSim作一个入门性的简单介绍。首先介绍ModelSim的代码仿真,然后介绍门级仿真和时序验证。 2 代码仿真 在完成一个设计的代码编写工作之后,可以直接对代码进行仿真,检测源代码是否符合功能要求。这时,仿真的对象为HDL代码,比较直观,速度比较快,可以进行与软件相类似的多种手段的调试(如单步执行等)。在设计的最初阶段发现问题,可以节省大量的精力。 2.1 代码仿真需要的文件 1.设计HDL源代码:可以使VHDL语言或Verilog语言。 2.测试激励代码:根据设计要求输入/输出的激励程序,由于不需要进行综合,书写具有很大的灵活性。 3.仿真模型/库:根据设计内调用的器件供应商提供的模块而定,如:FIFO(Altera常用的FIFO有:lpm_fifo /lpm_fifo_dc等)、DPRAM等。 2.2 代码仿真步骤 1.建立工程:在ModelSim中建立Project。如图2.1所示,点击File?New?Project,得到Creata Project的弹出窗口,如图2.2所示。在Project Name栏中填写你的项目名字,建议和你的顶层文件名字一致。Project Location是你的工作目录,你可通过Brose按钮来选择或改变。Ddfault Library Name可以采用工具默认的work。

图2.1 图2.2 2.给工程加入文件:ModelSim会自动弹出Add Items to the project窗口,如图2.3所示。选择Add Exsiting File后,根据相应提示将文件加到该Project中。 图2.3 3.编译:编译(包括源代码和库文件的编译)。编译可点击Comlile?Comlile All来完成。 4.装载文件:如图2.4,点击Simulate?Simulate…后,如图2.5所示,选定顶层文件(激励文件),ADD加入,然后点击LOAD,装载。

ModelSim入门指导答辩

QUESTA-SIM(QuestaSim)10.2入门指南平台 软件:Questa-Sim 10.2 版本Starter Edition,也适用于高版本的ModelSim软件。内容 一. 设计流程 典型设计流程包括如下所示: (1)设计输入 设计的行为或结构描述 (2)RTL仿真(ModelSim) 功能仿真 验证逻辑模型(没有使用时间延迟) 可能要求编辑设计 (3)综合 把设计翻译成原始的目标工艺 最优化——合适的面积要求和性能要求 (4)布局和布线 映射设计到目标工艺里指定位置 指定的布线资源应被使用 (5)门级仿真(ModelSim) 时序仿真 验证设计一旦编程或配置将能在目标工艺里工作 可能要求编辑设计 (6)时序分析 (7)验证合乎性能规范 可能要求编辑设计 (8)版图设计 (9)仿真版图设计 (10)在板编程和测试器件 使用QuestaSi/ModelSim仿真的基本流程为: 图1.1 使用QuestaSim仿真的基本流程 2 开始 1.1 新建工程 打开QuestaSim后,其画面如图2.1所示。

图2.1 QuestaSim画面 1. 创建工作目录E:/QuestaSim/, 在其路径下创建子文件夹/ip、/prj、/rtl、/tb, prj是QuestaSim工程主文件夹,ip是仿真模型目录,rtl 是代码目录,tb是testbench目录。 2. 选择File>New>Preject创建一个新工程。打开的Create Project对话框窗口,可以指定工程的名称、路径和缺省库名称。一般情况下,设定Default Library Name为work。指定的名称用于创建一个位于工程文件夹内的工作库子文件夹。该对话框如图2.2所示,此外还 允许通过修改初始化文件QuestaSim.ini文件来映射库设置。 图2.2 创建工程的对话框 2. 设置Project Name为BG0806,Project Location为E:/QuestaSim/prj, 勾选Copy Library Mappings ,点击OK。 3. 出现Add Items to the Project的对话框,如图2.3所示,

modelsim新手入门仿真教程

Modelsim新手入门仿真教程 1.打开modelsim软件,新建一个library。 2.library命名 3.新建一个工程。

3.出现下面界面,点击close。 4.新建一个verilog文件 键入主程序。下面以二分之一分频器为例。

文件代码: module half_clk(reset,clk_in,clk_out); input clk_in,reset; output clk_out; reg clk_out; always@(negedge clk_in) begin if(!reset) clk_out=0; else clk_out=~clk_out; end endmodule 编辑完成后,点击保存。

文件名要与module后面的名称相同。 5.再新建一个测试文件,步骤同上面新建的主程序文件,文件名后缀改为.vt 程序代码如下: `timescale 1ns/100ps `define clk_cycle 50 module top; reg clk,reset; wire clk_out; always #`clk_cycle clk=~clk; initial

begin clk=0; reset=1; #10 reset=0; #110 reset=1; #100000 $stop; end half_clk m0( .reset(reset), .clk_in(clk), .clk_out(clk_out)); Endmodule 6.添加文件,编译文件 先右键点击左边空白处,选择add to project→existing File 选择刚刚新建的两个文件。按ctrl键可以同时选择两个,选择打开,下一步点击ok

ModelSim_使用(原创)

ModleSim-Altera 使用流程: 通过quatusll 打开modlesim 的方法 配置生成仿真文件环境 1.Assignments -- settings 2.EDA Tool Settings --simulation -- Tool Name: ModleSim-Altera 3. Format For output netlist --Verilog HDL 4.Time scale : 1ps 也可以指定别的量级 5.output directory :指定测试文件模板生成路径该路径是相对路径 生成仿真文件 1. 生成仿真文件模板:Processing -- Start --Start Tesst Bench Template Writer --提示生成成功 (会在工程文件 modelsim 下的目录找到后缀名为 vt 的 文件) 2. 根据自己想要的条件对模板进行编辑。 配置仿真环境 (指定那个是仿真文件) 0.首先复制一下 仿真 文件 module 关键字后面的 模块名 比如 module key_vlg_tst().. 复制 key_vlg_tst 1.Assignments -- settings 2.EDA Tool Settings -- simulation --NativeLink settings --Compile test bench --点击 后方 test benches 3. new 4.Test bench name :key_vlg_tst(刚才赋值的仿真模块名) | Top level module in test bench : key_vlg_tst(一样) 5.勾选 use est bench to pergorm VHDL timing simulaion -- Design instance name in test bench :填写 i1 6.file name --浏览 找到上面创建的仿真文件 --add 进行仿真 Tool -- Run EDA Simulation Too -- EDA RTL Simulation 稍微等一下 就可以看见相应的仿真波形图。通过modlesim 打开 test bench 进行仿真的方法 1. 通过trascript 栏中 cd 到我的工程目录下面 比如:cd E:/icore3/icore3_fpga/mytest2/simulation 注意: 在modlesim 中用 / 作为分隔符 2. 新建立一个文件夹 mkdir test :这个时候就会出现一个test 文件夹 可以通过 ls -l 3. file - new project -- project name: work 随便选 比如 work 4. add Existing file -- 添加你想要进行仿真的test bench 文件 一般将该文件放在 放在 src 文件下 ,以顶层_tb 的方式进行命名。 比如有一个 顶层为key.v 文件 那么我会在放同一个目录下面 命名一个 key_tb.v 文件写test bench -- OK -- close 注 :在进行这一步的时候 你已经写好test bench 了 5.右键添加的 .v 文件 然后进行编译 ,看报错情况并进行修改,双击错误的地方,可以查看错误的位置和信息,最后compile all 通过方可停止。w a j a

ModelSim新手使用手册

ModelSim最基本的操作,初次使用ModelSim的同学,可以看看,相互学习。 无论学哪种语言,我都希望有个IDE来帮助我创建一个工程,管理工程里的文件,能够检查我编写代码的语法错误,能够编译运行出现结果,看看和预期的结果有没有出入,对于Verilog语言,我用过Altera的Quartus II,Xilinx的ISE,还有ModelSim(我用的是Altera 官网的ModelSim_Altera),甚至MAXPlus II,不过感觉这软件太老了,建议还是前三者吧。 学Verilog,找一本好书很重要,参考网友的建议,我也买了一本夏宇闻老师的《Verilog 数字系统设计教程》,用Quartus II来编写代码,个人觉得它的界面比ISE和ModelSim友好,我一般用它编写代码综合后自动生成testbench,然后可以直接调用ModelSim仿真,真的很方便,但学着学着,发现夏老师书里的例子很多都是不可综合的,比如那些系统命令,导致很多现象都发现不了,偶然间我直接打开了ModelSim,打开了软件自带的英文文档,步骤是:Help ->PDF Documentation->Tutorial如下所示: 打开文档的一部分目录: 往下读发现其实ModelSim可以直接创建工程,并仿真的。下面以奇偶校验为例叙述其使用过程(当然前提是你在Altera官网下载了ModelSim并正确安装了)。 1.打开软件,新建一个工程,并保存到自定义的目录中(最好别含中文路径) 2.点击Project,弹出窗口问是否关闭当前工程,点击Yes,接着又弹出如下窗口

我个人的习惯是把Project Name和Default Library Name写成一样,自己定义Project Location。又弹出如下窗口: 3.点击Close(我的版本不能Create New File,其实新建好了工程一样可以新建.v文件),然后点击屏幕下方的Project标签: 如果一开始不是如上图所示的界面,那么可以点击如下图所示红色标记的按键变成上图界面:

利用ModelSim进行的功能仿真,综合后仿真,时序仿真

利用ModelSim进行的功能仿真,综合后仿真,时序仿真 功能仿真,就是在理想状态下(不考虑延迟),验证电路的功能是否符合设计的要求。 功能仿真需要: 1.TestBench或者其他形式的输入激励 2.设计代码(HDL源程序) 3.调用器件的模块定义(供应商提供,如FIFO,RAM等等) 值得一提的是,可以在ModelSim直接编写TestBench,使用View->Source->Show language templates. 综合后仿真(门级仿真),实际上就是将对综合后的门级网表进行仿真,只考虑门延迟,而没有加入时延文件。在功能仿真之后检验综合的结果是否满足功能要求。 综合后仿真需要: 1.综合后的门级网表,注意这里变成了*.vo文件,而不是原来功能仿真中所需要的HDL源代码. 2.测试激励 3.元件库Altera的仿真库位置为 *:\altera\quartus\eda\sim_lib 所谓时序仿真,就是在综合后仿真的基础上加上时延文件(sdf文件),综合考虑了路径延迟和门延迟的情况,验证电路是否存在时序违规。 时序仿真需要: 1.综合后的门级网表,注意这里变成了*.vo文件,而不是原来功能仿真中所需要的HDL源代码. 2.测试激励 3.元件库Altera的仿真库位置为 *:\altera\quartus\eda\sim_lib 4.较门级仿真还需要具有包含时延信息的反标记文件*.sdf 可以有两种方法实现门级仿真,或时序仿真。

1.工程编译成功后,自动启用ModelSim来运行门级仿真,前提是要在Quartus II的Options中设置好ModelSim的路径(和有些参考PDF上说的环境变量好像无关,至少我用的Quartus II 9.0 Web Edtion是这样的)具体方法是,进入Quartus9.0->Tools->Options,在Categroy里选中General 下的EDA Tool Options,在ModelSim右边的Location of Executable中双击来改变路径,就并且在工程中设置了自动启动ModelSim,就可以自动启用了。 1.在EDA Tool Settings,首先将仿真工具设置为ModelSim,然后点击让它自动启动。 2.NativeLink settings中选择testbench,完成相关的设置,例如test bench name,top level module in test bench,Design instance name in test bench,仿真时间,然后编译时会自动启动ModelSim然后完成所有操作,大概这就是Altera所指的和很多EDA工具的无缝连接。 另外一种方法,则是现在quartus ii中生成门级网表和延时文件,然后调用ModelSim进行仿真 1.在quartus ii设置仿真工具为ModelSim,这样设置完成后,在当前目录下会生成一个simulation的目录,该目录下有一个simulation文件夹,里面包含了网标文件和时延反标文件,vhdl语言对应的是网表文件为*.vho,时延文件为*.sdo。Verilog则为*.vo,*.sdo。 2.建立库并映射到物理目录,编译TestBench,执行仿真。 对库的理解: 我想所谓库,实际上就是一个代替文件夹的符号,区别就是,库中的文件的表述皆是经过了编译的实体或者module,一切操作都在库中进行。 ModelSim有两种库,一种是资源库,一种是工作库(默认名为work,保存当前工程下已通过编译的所有文件,资源库放置work库已编译文件所要调用的资源)。所以编译前,一定要有work库,而且只能有一个。

modelsim-SE-win64-10.1c下载、安装、破解全攻略

本教程包括软件下载、破解文件下载、安装破解方法,助你一次成功。软件安装好了却不能用,想必大家都有过这样的痛苦和无奈。这款软件的破解花了我整整一个下午的时间,期间在网上找了各种方法尝试均以失败告终,差点让我放弃破解而着手去换操作系统。网上的方法多存在着疏漏和差错,所以这也是我写次教程的初衷,希望能帮到大家,少走弯路。本人使用系统声明:win8 64 位专业版过程如下: 1 运行modelsim-win64-10.1c-se.exe,安装软件;软件下载链接:https://www.wendangku.net/doc/087652800.html,/s/1mgoL67e 破解文件下载:https://www.wendangku.net/doc/087652800.html,/s/1c0zlrRa(好请点赞,多谢支持)注意事项:安装路径可自行设置,但不要出现汉字,(本例:D:\modeltech64_10.1c) 2 安装过程中一直选择yes 即可,最后reboot(重启)询问选Yes、No 似乎都可以,我在安装的过程中选择的是No,即我没有重启系统; 3 将解压的破解文件(MentorKG.exe 和patch_dll.bat)复制到安装目录下的win6 4 文件夹中。(本例:D:\modeltech64_10.1c\win64 文件夹中); 4 进入安装目录下的win64 文件夹找到mgls.dll mgls64.dll 两个文件,去掉只读属性; 5 运行patch_dll.bat,稍等一段时间后即可生成一个TXT 文本,将其另存为LICENSE.TXT,另存路径选择你安装目录的win64 文件夹下;(本例:D:\modeltech64_10.1c\win64 文件夹中) 6 恢复mgls.dll 和mgls64.dll 两个文件的只读属性; 7 环境变量设置:win8 中是这样的这台电脑》右键选择【属性】》【高级系统设置】》【环境变量】点击【新建】打开编辑对话框,【变量名】命名为MGLS_LICENSE_FILE ,【变量值】为你LICENSE.TXT 的文件路径,(本例变量值D:\modeltech64_10.1c\win64\LICENSE.TXT)在win8 环境变量页面有用户变量和系统变量两个环境变量,我是选择两个都设置了。破解完毕,祝你成功!

modelsim详细使用教程(一看就会)

Modelsim详细使用方法 很多的modelsim教程中都讲得很丰富,但忽视了对整个仿真过程的清晰解读,而且都是拿counter范例举例子,有些小白就不会迁移了。这里我们着眼于能顺利的跑通一个自己写的程序,一步一步的讲解,如果你是一个初学者,这再适合你不过了,虽然貌似字写得比较多,那是因为写得相当的详细,一看就会啦O(∩_∩)O~ 一、建立工程 1、在建立工程(project)前,先建立一个工作库(library),一般将这个library命名为 work。尤其是第一次运行modelsim时,是没有这个“work”的。但我们的project 一般都是在这个work下面工作的,所以有必要先建立这个work。 File→new→library 点击library后会弹出一个对话框,问是否要创建work,点击OK。就能看见work.

2、如果在library中有work,就不必执行上一步骤了,直接新建工程。 File→new→project 会弹出 在Project Name中写入工程的名字,这里我们写一个二分频器,所以命名half_clk,然后点击OK。 会出现

由于我们是要仿一个自己写的程序,所以这里我们选择Create New File。 在File Name中写入文件名(这里的file name和刚刚建立的project name可以一致也可以不一致)。注意Add file as type 要选择成Verilog(默认的是VHDL),然后OK。 发现屏幕中间的那个对话框没有自己消失,我们需要手动关闭它,点close。 并且在project中出现了一个half_clk.V的文件,这个就是我们刚刚新建的那个file。 这样工程就建立完毕了。 二、写代码: 1、写主程序:双击half_clk.v文件会出现程序编辑区,在这个区间里写好自己 的程序,这里我们写一个简单的二分频的代码: module half_clk_dai( clk_in, rst, clk_out ); input clk_in; input rst;

modelsim使用教程6.0

Modelsim 6.0 使用教程 1. Modelsim简介 Modelsim仿真工具是Model公司开发的。它支持Verilog、VHDL以及他们的混合仿真,它可以将整个程序分步执行,使设计者直接看到他的程序下一步要执行的语句,而且在程序执行的任何步骤任何时刻都可以查看任意变量的当前值,可以在Dataflow窗口查看某一单元或模块的输入输出的连续变化等,比quartus自带的仿真器功能强大的多,是目前业界最通用的仿真器之一。 对于初学者,modelsim自带的教程是一个很好的选择,在Help->SE PDF Documentation->Tutorial里面.它从简单到复杂、从低级到高级详细地讲述了modelsim的各项功能的使用,简单易懂。但是它也有缺点,就是它里面所有事例的初期准备工作都已经放在example文件夹里,直接将它们添加到modelsim就可以用,它假设使用者对当前操作的前期准备工作都已经很熟悉,所以初学者往往不知道如何做当前操作的前期准备。 2.安装 同许多其他软件一样,Modelsim SE同样需要合法的License,通常我们用Kengen产生license.dat。 ⑴.解压安装工具包开始安装,安装时选择Full product安装。当出现Install Hardware Security Key Driver时选择否。当出现Add Modelsim To Path选 择是。出现Modelsim License Wizard时选择Close。 ⑵.在C盘根目录新建一个文件夹flexlm,用Keygen产生一个License.dat,然后 复制到该文件夹下。 ⑶.修改系统的环境变量。右键点击桌面我的电脑图标,属性->高级->环境变量-> (系统变量)新建。按下图所示内容填写,变量值内如果已经有别的路径了, 请用“;”将其与要填的路径分开。LM_LICENSE_FILE = c:\flexlm\license.dat

如何能从Quartus II中调用Modelsim进行仿真

如何从Quartus II中调用Modelsim进行仿真 --穆永强版本说明:Quartus II 11.0版本;ModelSim-Altera 6.6d版本。 一、设置第三方EDA工具 在Tools -> Options中设置ModelSim的安装路径,注意要设置到win32文件夹(64位软件对应的就是win64)。 在Assignments -> Settings中设置仿真工具为ModelSim。这样Quartus就能无缝调用ModelSim了。

二、编写Testbench 我们可以通过Quartus自动生成一个Testbench的模板,选择Processing -> Start -> Start Test Bench Template Writer,等待完成后打开刚才生成的 Testbench,默认是保存在simulation\modelsim文件夹下的.vt格式文件。

打开vt文件后可以看到Quartus已经为我们完成了一些基本工作,包括端口部分的代码和接口变量的声明,我们要做的就是在这个做好的模具里添加我们需要的测试代码。 一个最基本的Testbench包含三个部分,信号定义、模块接口和功能代码。 ‘timescale 1ns/ 1ps表示仿真的单位时间为1ns,精度为1ps。想要进行仿真首先要规定时间单位,而且最好在Testbench里面统一规定时间单位,而不要在工程代码里定义,因为不同的模块如果时间单位不同可能会为仿真带来一些问题,而timescale本身对综合也就是实际电路没有影响。

其实Testbench本身可以看做一个模块或者设备(本例中的模块名为 add_vlg_tst),和你自己编写的模块进行通信。通过Testbench模块向待测模块输出信号作为激励,同时接收从待测模块输出的信号来查看结果。因此,在待测模块中的reg型信号在Testbench中就变成了wire,待测模块中的wire型信号在Testbench 中则对应为reg型。那么inout怎么办呢,inout型信号也要设成wire,同时要用一个reg型信号作为输出寄存器,同时设置一个三态门,由一个使能信号控制,如:assign inout_sig = out_en ? out_reg : 1’bz;

Modelsim的仿真教程

ModelSim的仿真 1.仿真的分类 仿真过程是正确实现设计的关键环节,用来验证设计者的设计思想是否正确,及在设计实现过程中各种分布参数引入后,其设计的功能是否依然正确无误。仿真主要分为功能仿真和时序仿真。功能仿真是在设计输入后进行;时序仿真是在逻辑综合后或布局布线后进行。1). 功能仿真( 前仿真) 功能仿真是指在一个设计中,在设计实现前对所创建的逻辑进行的验证其功能是否正确的过程。布局布线以前的仿真都称作功能仿真,它包括综合前仿真(Pre-Synthesis Simulation )和综合后仿真(Post-Synthesis Simulation )。综合前仿真主要针对基于原理框图的设计; 综合后仿真既适合原理图设计, 也适合基于HDL 语言的设计。 2). 时序仿真(后仿真) 时序仿真使用布局布线后器件给出的模块和连线的延时信息,在最坏的情况下对电路的行为作出实际地估价。时序仿真使用的仿真器和功能仿真使用的仿真器是相同的,所需的流程和激励也是相同的;惟一的差别是为时序仿真加载到仿真器的设计包括基于实际布局布线设计的最坏情况的布局布线延时,并且在仿真结果波形图中,时序仿真后的信号加载了时延,而功能仿真没有。 后仿真也称为时序仿真或者布局布线后仿真,是指电路已经映射到特定的工艺环境以后,综合考虑电路的路径延迟与门延迟的影响,验证电路能否在一定时序条件下满足设计构想的过程,是否存在时序违规。其输入文件为从布局布线结果中抽象出来的门级网表、Testbench 和扩展名为SDO 或SDF 的标准时延文件。SDO 或SDF 的标准时延文件不仅包含门延迟,还包括实际布线延迟,能较好地反映芯片的实际工作情况。一般来说后仿真是必选的,检查设计时序与实际的FPGA 运行情况是否一致,确保设计的可靠性和稳定性。2.仿真的作用 1).设计出能工作的电路:因此功能仿真不是一个孤立的过程,其和综合、时序分析等形成一个反馈工作过程,只有这个过程收敛,各个环节才有意义。而孤立的功能仿真通过是没有意义的,如果在时序分析过程中发现时序不满足需要更改代码,则功能仿真必须从新进行。因此正确的工作流程是:

modelsim使用入门(VHDL_

modelsim使用实例(VHDL) 标签: modelsim仿真testbench FPGA 看了几天的modelsim以及如何在quartusII中调用,浏览了N个网页,尝试了N次,......终于初步完成。下面是入门部分介绍。 modelsim是专业的仿真软件,仿真运行速度比同类的其他仿真软件都要快很多。Quartus 自己都不再做仿真器了,普遍使用modelsim,自有其缘由。 这里用的是modelsim-altera(6.6d)版本,与quartus II 11.0搭配。据说Altera公司推出的Quartus软件不同版本对应不同的modelsim,相互之间不兼容。某些功能齐全的modelsim版本可以进行两种语言的混合编程, modelsim-altera只能支持一种语言进行编程(VHDL或者Verilog选其一)。 1、新建工程 打开modelsim-->file-->new-->project 新建工程,输入工程名,文件存放路径后(不可直接放在某盘下,必须放入文件夹中),进入下一步。 在主体窗口下方出现project标签。见下图。

2、新建文件 --create new file:输入名称div10,选择语言VHDL --关闭对话框 新的工程文件将会在工程窗口出现。 3、写入源程序(VHDL) 双击div10.vhd,打开文本编辑器。 实例代码如下(一个十分频的VHDL源代码):library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; entity div10 is --实体要与工程名相同 port(clk :in std_logic;

相关文档