文档库 最新最全的文档下载
当前位置:文档库 › 电子时钟设计原理图及PCB图

电子时钟设计原理图及PCB图

电子时钟设计原理图及PCB图
电子时钟设计原理图及PCB图

电子时钟设计原理图及PCB图

AT89C51是一种带4K字节闪存可编程可擦除只读存储器(FPEROM—Flash Programmable and Erasable Read Only Memory)的低电压、高性能CMOS 8位微处理器,俗称单片机。AT89C2051是一种带2K字节闪存可编程可擦除只读存储器的单片机。单片机的可擦除只读存储器可以反复擦除1000次。该器件采用ATMEL高密度非易失存储器制造技术制造,与工业标准的MCS-51指令集和输出管脚相兼容。由于将多功能8位CPU和闪烁存储器组合在单个芯片中,ATMEL的AT89C51是一种高效微控制器,AT89C2051是它的一种精简版本。

本设计主要采用中断的方式,采用INT0,INT1中断,分别由按键s1,s2触发。按键s1作为功能选择键,当按键s1按下时,可以在不同的功能之间进行切换。按下s1,由时钟状态进入秒表状态,再按一下,秒表开始计时,再按s1,秒表停下,再按s1,进入调整时钟的状态,每按一次,可以用按键s2对相应的位进行调整。

其中复位电路具有上电自动复位,和手动复位功能。由P2控制三极管驱动数码管,P0口做数据输出口。

PCB总图

电子时钟设计的原理图:

PCB顶层图

pcb板电路原理图分模块解析

PCB板电路原理图分模块解析 前面介绍了电路图中的元器件的作用和符号。一张电路图通常有几十乃至几百个元器件,它们的连线纵横交叉,形式变化多端,初学者往往不知道该从什么地方开始,怎样才能读懂它。其实电子电路本身有很强的规律性,不管多复杂的电路,经过分析可以发现,它是由少数几个单元电路组成的。好象孩子们玩的积木,虽然只有十来种或二三十种块块,可是在孩子们手中却可以搭成几十乃至几百种平面图形或立体模型。同样道理,再复杂的电路,经过分析就可发现,它也是由少数几个单元电路组成的。因此初学者只要先熟悉常用的基本单元电路,再学会分析和分解电路的本领,看懂一般的电路图应该是不难的。 按单元电路的功能可以把它们分成若干类,每一类又有好多种,全部单元电路大概总有几百种。下面我们选最常用的基本单元电路来介绍。让我们从电源电路开始。 一、电源电路的功能和组成 每个电子设备都有一个供给能量的电源电路。电源电路有整流电源、逆变电源和变频器三种。常见的家用电器中多数要用到直流电源。直流电源的最简单的供电方法是用电池。但电池有成本高、体积大、需要不时更换(蓄电池则要经常充电)的缺点,因此最经济可靠而又方便的是使用整流电源。 电子电路中的电源一般是低压直流电,所以要想从220 伏市电变换成直流电,应该先把 220 伏交流变成低压交流电,再用整流电路变成脉动的直流电,最后用滤波电路滤除脉动直流电中的交流成分后才能得到直流电。有的电子设备对电源的质量要求很高,所以有时还需要再增加一个稳压电路。因此整流电源的组成一般有四大部分,见图1。其中变压电路其实就是一个铁芯变压器,需要介绍的只是后面三种单元电路。 二、整流电路 整流电路是利用半导体二极管的单向导电性能把交流电变成单向脉动直流电的电路。 ( 1 )半波整流 半波整流电路只需一个二极管,见图 2 ( a )。在交流电正半周时 VD 导通,负半周时VD 截止,负载 R 上得到的是脉动的直流电

数字钟设计

东北石油大学课程设计 2012年6月10日

东北石油大学课程设计任务书 课程硬件课程设计 题目数字钟设计 专业 主要内容、基本要求等 一、主要内容: 利用EL教学实验箱、微机和QuartusⅡ软件系统,使用VHDL语言输入方法设计数字钟。可以利用层次设计方法和VHDL语言,完成硬件设计设计和仿真。最后在EL教学实验箱中实现。 二、基本要求: 1.具有时,分,秒,计数显示功能,以24小时循环计时。 2.具有清零功能。 三、扩展要求: 1.调节小时、分钟功能。 2.整点报时功能,整点报时的同时LED灯花样显示。 四、参考文献: [1] 潘松,王国栋,VHDL实用教程〔M〕.成都:电子科技大学出版社,2000.(1) [2] 崔建明主编,电工电子EDA仿真技术北京:高等教育出版社,2004 [3] 李衍编著,EDA技术入门与提高王行西安:西安电子科技大学出版社,2005 [4] 侯继红, 李向东主编,EDA实用技术教程北京:中国电力出版社,2004 [5] 沈明山编著,EDA技术及可编程器件应用实训北京:科学出版社,2004 完成期限2周 指导教师 专业负责人 2012年7 月 6 日

东北石油大学课程设计成绩评价表 指导教师:年月日

摘要 本文对EDA的概念,技术及其应用进行了概述并利用VHDL语言在EDA平台上设计一个电子数字钟,它的计时周期为24小时,显示满刻度为23时59分59秒,另外还具有校时功能和闹钟功能。总的程序由几个各具不同功能的单元模块程序拼接而成,并且使用Quartus7.2-II软件进行电路波形仿真,下载到EDA实验箱进行验证。根据系统设计要求,系统设计采用自顶向下设计方法,由时钟分频部分、计时部分、按键部分调时部分和显示部分五个部分组成。这些模块都放在一个顶层文件中。 首先下载程序进行复位清零操作,电子钟从00:00:00计时开始。sethour可以调整时钟的小时部分, setmin可以调整分钟,步进为1。 用6位数码管分别显示“时”、“分”、“秒”,通过OUTPUT( 6 DOWNTO 0 ) 上的信号来点亮指定的LED七段显示数码管。 手动调节分钟、小时,可以对所设计的时钟任意调时间,这样使数字钟真正具有使用功能。我们可以通过实验板上的键7和键4进行任意的调整,因为我们用的时钟信号均是1HZ的,所以每LED灯变化一次就来一个脉冲,即计数一次。 reset为复位键,低电平时实现清零功能,高电平时正常计数。可以根据我们自己任意时间的复位。 关键词:EDA(电子设计自动化);VHDL(硬件描述语言),数字钟。

PCB板设计步骤

1.5 PCB 板的设计步骤 (1 )方案分析 决定电路原理图如何设计,同时也影响到 PCB 板如何规划。根据设计要求进行方案比较、选择,元 器件的选择等,开发项目中最重要的环节。 (2 )电路仿真 在设计电路原理图之前,有时会会对某一部分电路设计并不十分确定,因此需要通过电路方针来验 证。还可以用于确定电路中某些重要器件参数。 (3 )设计原理图元件 PROTEL DXP 提供了丰富的原理图元件库,但不可能包括所有元件,必要时需动手设计原理图元件,建立 自己的元件库。 (4)绘制原理图 找到所有需要的原理元件后,开始原理图绘制。根据电路复杂程度决定是否需要使用层次原理图。完成原 理图后,用ERC (电气法则检查)工具查错。找到岀错原因并修改原理图电路,重新查错到没有原则性错误为 止。 5 )设计元件圭寸装 和原理图元件一样, PROTEL DXF 也不可能提供所有元件的封装。需要时自行设计并建立新的元件封装库。 6)设计PCB 板 确认原理图没有错误之后,开始 PCB 板的绘制。首先绘岀 PCB 板的轮廓,确定工艺要求(如使用几层板 等)。然后将原理图传输到 PCB 板中,在网络表、设计规则和原理图的引导下布局和布线。利用设计规则查 错。是电路设计的另一个关键环节,它将决定该产品的实用性能,需要考虑的因素很多,不同的电路有不同 要求 (7 )文档整理 对原理图、PCB 图及器件清单等文件予以保存,以便以后维护和修改 DXP 的元器件库有原理图元件库、 PCB 元件库和集成元件库,扩展名分别为 DXP 仍然可以打开并使用 Protel 以往版本的元件库文件。 在创建一个新的原理图文件后 ,DXP 默认为该文件装载两个集成元器件库: Miscellaneous Connectors.IntLib 。因为这两个集成元器件库中包含有最常用的元器件。 注意: Protel DXP 中,默认的工作组的文件名后缀为 .PrjGrp ,默认的项目文件名后缀为 .PrjPCB 。如 果新建的是 FPGA 设计项目建立的项目文件称后缀为 .PrjFpg 。 也可以将某个文件夹下的所有元件库一次性都添加进来, 方法是:采用类似于 Windows 的操作,先选中该文 件夹下的第一个元件库文件后,按住 Shift 键再选中元件库里的最后一个文件,这样就能选中该文件夹下的所 有文件,最后点打开按钮,即可完成添加元件库操作。 3.1原理图的设计方法和步骤 下面就以下图 所示的简单 555定时器电路图为例,介绍电路原理图的设计方法和步骤。 3.1.1创建一个新项目 电路设计主要包括原理图设计和 PCB 设计。首先创建一个新项目,然后在项目中添加原理图文件和 PCB 文件,创建一个新项目方法: ?单击设计管理窗口底部的 File 按钮,弹岀一个面板。 ? New 子面板中单击 Blank Project ( PCB )选项,将弹岀 Projects 工作面板。 ?建立了一个新的项目后,执行菜单命令 File/Save Project As ,将新项目重命名为 "myProject1 . PrjPCB ”保存该项目到合适位置 3.1.2创建一张新的原理图图纸 ?执行菜单命令 New / Schematic 创建一张新的原理图文件。 ?可以看到 Sheetl.SchDoc 的原理图文件,同时原理图文件夹自动添加到项目中。 ?执行菜单命令 File/Save As ,将新原理 SchLib 、PcbLib 、IntLib 。但 Miscellaneous Devices 」ntLib 禾

基于51单片机的电子时钟设计源程序

#include unsigned char DispBuf[6]; //时间显示缓冲区 unsigned char Disdate[6]; //日期显示缓冲区 unsigned char DisSec[6]; //秒表缓冲区 struct //设定时间结构体 { unsigned char Hour; unsigned char Min; unsigned char Sec; }Time; struct //设定日期结构体 { unsigned char Year; unsigned char Month; unsigned char Days; }Date; struct //设定毫秒结构体 { unsigned char Minite; unsigned char Second; unsigned char MilliSec; }Millisecond; unsigned char point=0; unsigned char point1=0; unsigned char point2=0; unsigned char Daymount; unsigned char Daymount1; unsigned char T0_Int_Times=0; //中断次数计数变量 unsigned char Flash_flag=0; //闪烁标志,每半秒闪烁 unsigned char Flash_flag1=0; //闪烁标志,每半秒闪烁 unsigned char DisPlay_Back=0; //显示缓冲区更新备份,如果显示缓冲区更新则跟闪烁标志不一致 unsigned char DisPlay_Back1=0; //显示缓冲区更新备份,如果显示缓冲区更新则跟闪烁标志不一致 unsigned char i,j; unsigned char SetMillisecond; //启动秒表 code unsigned char LEDCode[]={0x01,0xd7,0x22,0x82,0xc4,0x88,0x08,0xc1,0x00,0x80}; //数码管显示代码 code unsigned char ErrorLEDCode[]={0x01,0xe7,0x12,0x82,0xc4,0x88,0x08,0xc1,0x00,0x80};//绘制错误图纸的数码管显示代码 void DisPlayBuf(); void ChangeToDispCode(); void ChangeToDispCode1(); void changedate(); // 调日期 void displaydate(); // 显示日期 void makedays(); //确定每个月的日期 void runSec();

单片机电子时钟的设计报告

目录 1 引言 (1) 2 设计任务与要求 (2) 2.1. 设计题目 (2) 2.2. 设计要求 (2) 3 系统的功能分析与设计方案 (3) 3.1. 系统的主要功能 (3) 3.2. 系统的设计方案 (3) 3.3. 数码管显示工作原理 (4) 3.4. 电路硬件设计 (5) 3.4.1. 设计原理框图 (5) 3.4.2. 电源部分 (5) 3.4.3. 复位电路 (6) 3.4.4. 指示灯电路 (6) 3.4.5. 按键电路 (7) 3.4.6. 时钟电路 (7) 3.4.7. 驱动电路 (8) 3.4.8. 数码管连接电路 (8) 3.4.9. 主控模块AT89S52 (9) 3.4.10. 材料清单 (10) 3.4.11. 电路原理图、PCB图及实物图 (11) 3.5. 软件设计 (13) 3.5.1. 软件设计流程 (13) 3.5.2. 完整源程序 (15) 4 系统安装与调试 (21) 4.1. 硬件电路的安装 (21) 4.2. 软件调试 (21) 5 课程设计总结 (22) 参考文献 (23) 致谢 (24)

摘要 单片机自20世纪70年代问世以来,以其极高的性能价格比,受到人们的重视和关注,应用很广、发展很快。单片机体积小、重量轻、抗干扰能力强、环境要求不高、价格低廉、可靠性高、灵活性好、开发较为容易。由于具有上述优点,在我国,单片机已广泛地应用在工业自动化控制、自动检测、智能仪器仪表、家用电器、电力电子、机电一体化设备等各个方面。这次课程设计通过对它的学习、应用,以AT89S52芯片为核心,辅以必要的电路,设计了一个简易的单片机电子时钟,包括硬件电路原理的实现方案设计、软件程序编辑的实现、电子时钟正常工作的流程、硬件的制作与软件的调试过程。电子时钟由5.0V直流电源供电,数码管能够比较准确显示时间,通过按键能够调整时间,从而到达学习、设计、开发软、硬件的能力。 关键词:单片机;AT89S52;电子时钟;数码管;按键

LCD电子钟课程设计

LCD电子钟课程设 计

课程设计(论文) 课程名称微机原理与单片机综合设计与实践题目名称 LCD显示的电子钟 01月10日

广东工业大学华立学院 课程设计(论文)任务书 题目名称LCD显示的电子钟 学系学部 专业班级 姓名 学号 一、课程设计(论文)的内容 以89C52单片机控制的时钟,在LCD显示器上显示当前的时间。设计要求:使用文字型LCD显示器显示当前时间。 设计能支持年月日星期时分秒的时钟,时钟要具有时间调整功能。 用4个功能键操作来设置当前时间。功能键K1~K4功能如下。 (1)K1-改变时间。 (2)K2-增加。 (3)K3-减少。 (4)K4-清零。 二、课程设计(论文)的要求与数据 1.总体设计方案、系统原理框图; 2.硬件电路各部分的电路原理图; 3.程序流程图; 4.源程序;

5.结论 三、课程设计(论文)应完成的工作 1.分析任务书,给出总体设计方案,画出系统原理框图; 2.硬件电路设计,给出主要电路原理图; 3.软件设计,给出程序流程图,完成程序设计和软件调试; 4.完成调试; 5.完成课程设计报告的撰写,及时上交课程设计报告。 四、课程设计(论文)进程安排 五、应收集的资料及主要参考文献 [1]张毅刚,彭喜源,谭晓昀.MCS-5系列单片机实用设计[M].哈尔滨工业大 学出版社, . [2]胡汉才.单片机原理及接口技术[M].清华大学出版社, .

[3]何立民.MCS-51系列单片机应用系统设计[M].北京航空航天大学出版社, . [4]杨亭.电子CAD职业技能鉴定教程[M].广东科技出版社, . 发出任务书日期: 12月30 日指导教师签名: 计划完成日期: 01 月10 日教学单位责任人签章:

电子综合设计-基于单片机多功能数字时钟的设计(附完整程序)

课题:基于51单片机的多功能数字时钟系统设计 一、概述、设计思路 该设计方案是以MC51单片机为核心,采用LCD液晶屏幕显示系统,辅以闹钟模块,温度采集模块、日期提醒、键盘时间调整预设置等模块,所构建的数字时钟系统,能动态显示实时时钟的时、分、秒,数据显示(误差限制在30每天),对闹铃方式与温度调节模块进行了重点设计实现SB0、SB1、SB2、SB3四个键实现时钟正常显示,调时,及闹钟时间设置。本系统设计大部分功能有软件来实现,使电路简单明了,系统稳定性也得大大提高。 二、系统组成与工作原理 1、工作原理: 本设计采用STC89C51单片机作为本次课程设计的控制模块。单片机可把由DS18B20、DS1302、AT24C02中的数据利用软件来进行处理,从而把数据传输到显示模块,实现温度、日历和闹铃的显示。以LCD液晶显示器为显示模块,把单片机传来的的数据显示出来,并且显示多样化,在显示电路中,主要靠按键来实现各种显示要求的选择与切换。 2、总是设计框架图:

图二:系统总体电路图 三、单元电路的设计与分析 整个电子时钟系统电路可分为六大部分:中央处理单元(CPU)、复位电路部分、显示部分、键盘输入部分、温度采集部分。 1、MCS-51单片机 VCC: 89S51 电源正端输入,接+5V。 VSS: 电源地端。

XTAL1: 单芯片系统时钟的反相放大器输入端。 XTAL2: 系统时钟的反相放大器输出端,一般在设计上只要在XTAL1 和XTAL2 上接上一只石英振荡晶体系统就可以动作了,此外可以在两引脚与地之间加入一20PF 的小电容,可以使系统更稳定,避免噪声干扰而死机。 RESET: 89S51的重置引脚,高电平动作,当要对晶片重置时,只要对此引脚电平提升至高电平并保持两个机器周期以上的时间,AT89S51便能完成系统重置的各项动作,使得内部特殊功能寄存器之内容均被设成已知状态,并且至地址0000H处开始读入程序代码而执行程序。 EA/Vpp: "EA"为英文"External Access"的缩写,表示存取外部程序代码之意,低电平动作,也就是说当此引脚接低电平后,系统会取用外部的程序代码(存于外部EPROM中)来执行程序。因此在8031及8032中,EA引脚必须接低电平,因为其内部无程序存储器空间。如果是使用8751 内部程序空间时,此引脚要接成高电平。此外,在将程序代码烧录至8751内部EPROM时,可以利用此引脚来输入21V的烧录高压(Vpp)。 ALE/PROG: 端口3的管脚设置: P3.0:RXD,串行通信输入。 P3.1:TXD,串行通信输出。 P3.2:INT0,外部中断0输入。

PCB电路板原理图的设计步骤

PCB电路板原理图的设计步骤 PCB从单层发展到双面、多层和挠性,并且仍旧保持着各自的发展趋势。由于不断地向高精度、高密度和高可靠性方向发展,不断缩小体积、减少成本、提高性能,使得印刷板在未来设备的发展工程中,仍然保持着强大的生命力。那 么PCB是如何设计的呢?看完以下七大步骤就懂啦! 1、前期准备 包括准备元件库和原理图。在进行PCB设计之前,首先要准备好原理图SCH 元件库和PCB元件封装库。PCB元件封装库最好是工程师根据所选器件的标准尺寸资料建立。原则上先建立PC的元件封装库,再建立原理图SCH元件库PCB元件封装库要求较高,它直接影响PCB的安装;原理图SCH元件库要求相对宽松,但要注意定义好管脚属性和与PCB元件封装库的对应关系。 2、PCB结构设计 根据已经确定的电路板尺寸和各项机械定位,在PCB设计环境下绘制PCB

板框,并按定位要求放置所需的接插件、按键/开关、螺丝孔、装配孔等等。充分考虑和确定布线区域和非布线区域(如螺丝孔周围多大范围属于非布线区域)。 3、PCB布局设计 布局设计即是在PCB板框内按照设计要求摆放器件。在原理图工具中生成网络表(Design→Create Netlist),之后在PCB软件中导入网络表(Design →Import Netlist)。网络表导入成功后会存在于软件后台,通过Placement操作可以将所有器件调出、各管脚之间有飞线提示连接,这时就可以对器件进行布局设计了。 PCB布局设计是PCB整个设计流程中的重要工序,越复杂的PCB板,布局的好坏越能直接影响到后期布线的实现难易程度。布局设计依靠电路板设计师的电路基础功底与设计经验丰富程度,对电路板设计师属于较高的要求。初级电路板设计师经验尚浅、适合小模块布局设计或整板难度较低的PCB布局设计任务。 4、PCB布线设计

电路CAD时钟设计报告

信息科学与工程学院设计性实验报告 班级:电子专业 07 级 3 班 实验项目名称:时钟控制器设计 实验项目性质:设计性实验 实验所属课程:电路CAD 实验室(中心):语音楼2楼 指导教师: 实验完成时间: 2010 年 4 月 24 日

一、实验目的 用VHDL语言设计一个数字时钟。 二、实验内容及要求 设计一个具有以下功能的可编程时钟控制器: 1.具有时分秒计时,6位数字显示的时钟电路; 2.具有快速校准时、分的校时功能。 3.扩展功能,要求能够实现闹钟的功能 三、实验原理 通过定义的中间信号量,再通过写的若干个进程里的敏感信号量实现进位计时。通过输入信号选择对分、时的调整。 四、实验仪器、材料 QUARTUSII软件编译环境、GW48 EDA/SOPC实验箱 五、方案设计 由于实验箱上能够实现多位预置数的模式并不具备6位数码管显示功能,所以只能通过功能选择键,再通过指定的一个按键来实现相应时钟位的加一操作,为了能够快速的调节时间,所以有必要将时、分的个位和十位分开调节。由于还有定时功能的实现,所以需要相应的按键及程序实现时钟显示和定时时间的设定。 具体步骤为: 定义输入输出端口,定义相关中间信号量。由于输入时钟信号不一定是频率为1HZ 的,所以需要编写一个分频计数器分频出1HZ的频率用以作为秒计数时钟的进程。分别设计分、秒、时的相关计数程序,还有显示程序。本实验设计的相关控制按键的控制状态图如下所示:

具体程序如下所示: library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity shizhong is port( clk1,tss,tsg,tfs,tfg,ding,clk2:in std_logic; md1:in std_logic; md2:in std_logic_vector(1 downto 0); speak:out std_logic; sout1:out std_logic_vector(3 downto 0); sout2:out std_logic_vector(3 downto 0); fout1:out std_logic_vector(3 downto 0); fout2:out std_logic_vector(3 downto 0); mout1:out std_logic_vector(3 downto 0); mout2:out std_logic_vector(3 downto 0)); end shizhong; architecture one of shizhong is signal clk:std_logic;

电子时钟的设计及程序

电子时钟的设计及程序 一.设计目的: 1.理解掌握定时/计数器和中断的使用方法。 2.掌握微机常用的输入输出方式及接口技术。 3.掌握一定的汇编语言知识,培养自己的动手操作能力。 4.学习程序设计的基本思路和方法。 二.程序内容: 第一部分:定义显示界面。 第二部分:调用系统时间,并将调用的用二进制表示的时间数转换成ASCII码,并将时间数存入内存区。 第三部分:将存在系统内存区的时间数用显示字符串的形式显示出来。 第四部分:获取键盘的按键值,以ESC键退出系统返回DOS。三.程序设计原理: 首先在数据段开辟一显示缓冲区,用来存储系统时间。调用DOS中断,返回系统时间,并将来返回的二进制时间转换成ASCII码,方便时间显示时的调用。分别将来小时数、分钟数、秒数存入显示缓冲区,并最终存入一结束字符号’$’。调用DOS字符串显示功能将时间显示 出来。并调用屏幕I/O中断,定位光标的开始位置,结合着将时间显示在我们预先定义好的位置上。由于获取了的系统时间不会自动刷

新,所以我们要设计成刷新的方式来不断获取系统的时间,这样就形成了会跳动的电子钟了。调用延时TIME延时中断服务程序,累加到存放秒值的寄存器DL中,并进行十进制调整。在累加的过程中,不断地对时、分、秒值进行比较,秒不能等于60,分不能等于60,时不能等于24。秒等于限制值时,则使秒值为0分值加1;分等于限制值时,则使分值为0时值加1;时等于限制值时,则使时值为0;时、分、秒值都不超过限制值时,就转显示屏输出。时间显示的刷新要配合延时程序进行,为了得到良好的显示效果,延时程序要尽量接近1秒,但又不能超过一秒,所以本程序调用了一段较精确的时间延迟程序。利用BIOSS设计窗口,选择适当的背景和前景等,使屏幕显示更加完美。程序一旦进入运行,就将不间断地在显示屏显示时间,要想程序停止运行,可同时在键盘按下ESC键返回DOS系统。四.程序流程图如下: DATA SEGMENT ;设置数据段 BUF1 DB 'THE TIME IS NOW: $' BUF5 DB ' @@@@@ ^^^^^^^ @@@@@@ $' BUF6 DB ' &&&&&& ####### &&&&&& $' BUF7 DB ' 00 >o o < 00 $' BUF8 DB ' 00 (::) 00 $' BUF9 DB ' 00 ~~ 00 $' BUF10 DB ' 00 !! 00 $' BUF3 DB 'CLASS:040402206 $' BUF4 DB 'NAME:hu ling wei $' BUF2 DB 10 DB 10 DUP(?) DATA ENDS STACK SEGMENT STACK ;设置堆栈段

原理图和PCB的设计规范

一.PCB设计规范 1、元器件封装设计 元件封装的选用应与元件实物外形轮廓,引脚间距,通孔直径等相符合。元件外框丝印统一标准。 插装元件管脚与通孔公差相配合(通孔直径大于元件管脚直径8-20mil),考虑公差可适当增加。建立元件封装时应将孔径单位换算为英制(mil),并使孔径满足序列化要求。插装元件的孔径形成序列化,40mil以上按5mil递加,即40mil,45mil,50mil……,40mil以下按4mil递减,即36mil,32mil,28mil……。 2、PCB外形要求 1)PCB板边角需设计成(R=1.0-2.0MM)的圆角。 2)金手指的设计要求,除了插入边按要求设计成倒角以外,插板两侧边也应设计成(1-1.5)X45度的倒角或(R1-1.5)的圆角,以利于插入。 1.布局 布局是PCB设计中很关键的环节,布局的好坏会直接影响到产品的布通率,性能的好坏,设计的时间以及产品的外观。在布局阶段,要求项目组相关人员要紧密配合,仔细斟酌,积极沟通协调,找到最佳方案。 器件转入PCB后一般都集中在原点处,为布局方便,按合适的间距先把 所有的元器件散开。 2)综合考虑PCB的性能和加工效率选择合适的贴装工艺。贴装工艺的优先顺序为: 元件面单面贴装→元件面贴→插混装(元件面插装,焊接面贴装一次波峰成形); 元件面双面贴装→元件面插贴混装→焊接面贴装。 1.布局应遵循的基本原则 1.遵照“先固后移,先大后小,先难后易”的布局原则,即有固定位 置,重要的单元电路,核心元器件应当优先布局。

2.布局中应该参考原理图,根据重要(关键)信号流向安排主要元器 件的布局。 3.布局应尽量满足以下要求:总的连线尽可能短,关键信号线最短, 过孔尽可能少;高电压,大电流信号与低电压,小电流弱信号完全分开; 模拟与数字信号分开。 4.在满足电器性能的前提下按照均匀分布,重心平衡,美观整齐的标 准优化布局。 5.如有特殊布局要求,应和相关部门沟通后确定。 2.布局应满足的生产工艺和装配要求 为满足生产工艺要求,提高生产效率和产品的可测试性,保持良好的可维护性,在布局时应尽量满足以下要求: 元器件安全间距(如果器件的焊盘超出器件外框,则间距指的是焊盘之 间的间距)。 1.小的分立器件之间的间距一般为0.5mm,最小为0.3mm,相邻器件 的高度相差较大时,应尽可能加大间距到0.5mm以上。如和IC (BGA),连接器,接插件,钽电容之间等。 2.IC、连接器、接插件和周围器件的间距最好保持在1.0mm以上, 最少为0.5mm,并注意限高区和禁止摆放区的器件布局。 3.安装孔的禁布区内无元器件。如下表所示 4.高压部分,金属壳体器件和金属件的布局应在空间上保证与其它 器件的距离满足安规要求。

数字电子钟PCB制作

数字电子钟PCB制作 07电子信息工程(2)班 070306212 李武成 一.设计目的 Protel是20世纪90年代澳大利亚Protel Techmology公司研发的电子CAD软件,Protel 99SE将电路原理图编辑、电路仿真测试、PLD设计和PCB设计等功能融合在一起。由于其强大的功能和方便的操作,深受广大用户的欢迎,在中国小企业、公司应有极为普遍。 Protel 99是一个全面集成的电路设计软件,它具备强大便捷的编辑功能,为电子电路原理图和印制电路板的设计提供了良好的操作环境。本次课程设计通过了解熟悉Protel 99软件界面,进行数字电子钟电路原理图的设计、创建原理图元件、电路板的设计规划和网络表的载入、印刷电路板(PCB)的编辑、创建元件封装、报表生成和电路板输出。 二.画原理图 1.启动原理图设计系统 进入Protel 99 SE,创建一个数据库,执行菜单File/New命令,从框中选择原理图服务器(Schematic Document)图标,双击该图标,建立原理图设计文档。双击文档图标,进入原理图设计服务器界面。 2.设置图纸和环境 在进行原理图设计之前设置图纸的大小、方向及标题栏类型等。在Document Options 对话框中进行,执行菜单命令Design/Options即可。单击箭头按钮选择preference选项,打开原理图环境参数设置对话框,点击Schematic按钮进行设置。 3.放置元件 在原理图图纸上放置元件之前,需要先添加元件库,选中Browse Sch标签页,然后单击Add/Remove按钮,弹出Change Library File List,在Design Explorer99/Library/Sch 文件夹中选择元件库名称,单击添加和OK按钮。放置元件时选中所需的元件库,然后在元件列表框中选择相应的元件,单击Place放置元件。按空格键可使元件旋转,双击元件打开Part对话框,可以编辑元件属性。 4.原理图布线 执行菜单命令Place/Wire,光标变成十字形,在布线起点单击确定导线的起点,移动光标到终点的位置再单击确定导线终点,右击即可退出布线状态。 5.原理图的输出,下面是相关设计的原理图

PCB电路板设计的一般规范步骤

PCB设计步骤 一、电路版设计的先期工作 1、利用原理图设计工具绘制原理图,并且生成对应的网络表。当然,有些特殊情况下,如电路版比较简单,已经有了网络表等情况下也可以不进行原理图的设计,直接进入PCB设计系统,在PCB设计系统中,可以直接取用零件封装,人工生成网络表。 2、手工更改网络表将一些元件的固定用脚等原理图上没有的焊盘定义到与它相通的网络上,没任何物理连接的可定义到地或保护地等。将一些原理图和PCB封装库中引脚名称不一致的器件引脚名称改成和PCB封装库中的一致,特别是二、三极管等。 二、画出自己定义的非标准器件的封装库 建议将自己所画的器件都放入一个自己建立的PCB库专用设计文件。 三、设置PCB设计环境和绘制印刷电路的版框含中间的镂空等 1、进入PCB系统后的第一步就是设置PCB设计环境,包括设置格点大小和类型,光标类型,版层参数,布线参数等等。大多数参数都可以用系统默认值,而且这些参数经过设置之后,符合个人的习惯,以后无须再去修改。 2、规划电路版,主要是确定电路版的边框,包括电路版的尺寸大小等等。在需要放置固定孔的地方放上适当大小的焊盘。对于3mm的螺丝可用6.5~8mm的外径和3.2~3.5mm内径的焊盘对于标准板可从其它板或PCB izard中调入。 注意:在绘制电路版地边框前,一定要将当前层设置成Keep Out层,即禁止布线层。 四、打开所有要用到的PCB库文件后,调入网络表文件和修改零件封装 这一步是非常重要的一个环节,网络表是PCB自动布线的灵魂,也是原理图设计与印象电路版设计的接口,只有将网络表装入后,才能进行电路版的布线。 在原理图设计的过程中,ERC检查不会涉及到零件的封装问题。因此,原理图设计时,零件的封装可能被遗忘,在引进网络表时可以根据设计情况来修改或补充零件的封装。 当然,可以直接在PCB内人工生成网络表,并且指定零件封装。 五、布置零件封装的位置,也称零件布局 Protel99可以进行自动布局,也可以进行手动布局。如果进行自动布局,运行"Tools"下面的"Auto Place",用这个命令,你需要有足够的耐心。布线的关键是布局,多数设计者采用手动布局的形式。用鼠标选中一个元件,按住鼠标左键不放,拖住这个元件到达目的地,放开左键,将该元件固定。Protel99在布局方面新增加了一些技巧。新的交互式布局选项包含自动

电子时钟系统设计

《嵌入式系统》课程设计说明书 电子时钟系统 院部: 学生姓名: 指导教师:职称 专业: 班级: 学号:

湖南工学院嵌入式系统课程设计课题任务书 2.显示的时间为开发板当前的系统时间,显示的结果随着系统时间变化而变 I

摘要 嵌入式操作系统是一种支持嵌入式系统应用的操作系统软件,它是嵌入式系统( 包括硬、软件系统) 极为重要的组成部分,通常包括与硬件相关的底层驱动软件、系统内核、设备驱动接口、通信协议、图形界面、标准化浏览器等Browser 。嵌入式操作系统具有通用操作系统的基本特点,如能够有效管理越来越复杂的系统资源;能够把硬件虚拟化,使得开发人员从繁忙的驱动程序移植和维护中解脱出来;能够提供库函数、驱动程序、工具集以及应用程序。与通用操作系统相比较,嵌入式操作系统在系统实时高效性、硬件的相关依赖性、软件固态化以及应用的专用性等方面具有较为突出的特点。嵌入式技术已成为信息产业中发展最快、应用最广的计算机技术之一,并被广泛应用于网络通信、消费电子、医疗电子、工业控制和交通系统等领域。 本次设计采用QT程序开发框架开发的模拟时钟程序,使用Linux系统到嵌入式终端移植和交叉编译环境搭建,最终成功实现了在嵌入式终端的运行。 关键词:嵌入式系统;QT;模拟时钟;Linux系统

目录 1绪论 (1) 1.1 设计背景 (2) 1.2 设计目的和意义 (2) 2 嵌入式Linux系统 (2) 2.1 嵌入式Linux概念 (2) 2.2 嵌入式Linux组成 (2) 3 Qt工具 (3) 3.1 Qt简介 (3) 3.2 Qt优点 (3) 4 模拟时钟的设计 (4) 4.1 代码的编写 (4) 4.2 代码的调试与运行 (4) 5 模拟时钟到开发板的下载 (6) 5.1 交叉编译环境的构建 (7) 5.2 模拟时钟到开发板的下载运行 (7) 结论 (10) 参考文献 (11) 致谢 (12) 附录 (13)

EDA数字钟设计

摘要:应用VHDL语言编程,进行了多功能数字钟的设计,并在MAX PLUSⅡ环境下通过了编译、仿真、调试。 关键词:VHDL;EDA;数字钟;仿真图 0.引言 随着科学技术的迅猛发展,电子工业界经历了巨大的飞跃。集成电路的设计正朝着速度快、性能高、容量大、体积小和微功耗的方向发展。基于这种情况,可编程逻辑器件的出现和发展大大改变了传统的系统设计方法。可编程逻辑器件和相应的设计技术体现在三个主要方面:一是可编程逻辑器件的芯片技术;二是适用于可逻辑编程器件的硬件编程技术,三是可编程逻辑器件设计的EDA开发工具,它主要用来进行可编程逻辑器件应用的具体实现。在本实验中采用了集成度较高的FPGA 可编程逻辑器件, 选用了VHDL硬件描述语言和MAX + p lusⅡ开发软件。VHDL硬件描述语言在电子设计自动化( EDA)中扮演着重要的角色。由于采用了具有多层次描述系统硬件功能的能力的“自顶向下”( Top - Down)和基于库(L ibrary - Based)的全新设计方法,它使设计师们摆脱了大量的辅助设计工作,而把精力集中于创造性的方案与概念构思上,用新的思路来发掘硬件设备的潜力,从而极大地提高了设计效率,缩短 了产品的研制周期。MAX + p lusⅡ是集成了编辑器、仿真工具、检查/分析工具和优化/综合工具的这些所有开发工具的一种集成的开发环境,通过该开发环境能够很方便的检验设计的仿真结果以及建立起与可编程逻辑器件的管脚之间对应的关系。 1. EDA简介 20世纪90年代,国际上电子和计算机技术较先进的国家,一直在积极探索新的电子电路设计方法,并在设计方法、工具等方面进行了彻底的变革,取得了巨大成功。在电子技术设计领域,可编程逻辑器件(如CPLD、FPGA)的应用,已得到广泛的普及,这些器件为数字系统的设计带来了极大的灵活性。这些器件可以通过软件编程而对其硬件结构和工作方式进行重构,从而使得硬件的设计可以如同软件设计那样方便快捷。这一切极大地改变了传统的数字系统设计方法、设计过程和设计观念,促进了EDA技术的迅速发展。 EDA是电子设计自动化(Electronic Design Automation)的缩写,在20世纪90年代初从计算机辅助设计(CAD)、计算机辅助制造(CAM)、计算机辅助测试(CAT)和计算机辅助工程(CAE)的概念发展而来的。EDA技术就是以计算机为工具,设计者在EDA软件平台上,用硬件描述语言HDL 完成设计文件,然后由计算机自动地完成逻辑编译、化简、分割、综合、优化、布局、布线和仿真,直至对于特定目标芯片的适配编译、逻辑映射和编程下载等工作。EDA技术的出现,极大地提高了电路设计的效率和可操作性,减轻了设计者的劳动强度。 这些器件可以通过软件编程而对其硬件结构和工作方式进行重构,从而使得硬件的设计可以如同软件设计那样方便快捷。这一切极大地改变了

pcb 原理图 练习

PCB板设计练习 要求: 一、三端稳压电源PCB板设计 1、创建工程文件和创建原理图文件, 工程文件名命名为:三端稳压电源PCB板设计.PrjPCB , 原理图文件名为:三端稳压电源电路.SchDOC。 2、创建一个PCB文件,命名为:三端稳压电源PCB板.PcbDOC,根据下图所示电路,设计相应的PCB板。 3、三端稳压电源PCB板设计参考:

二、跑马灯PCB板设计 1、创建工程文件和创建原理图文件, 工程文件名命名为:跑马灯PCB板设计.PrjPCB , 原理图文件名为:跑马灯电路.SchDOC。 2、创建一个PCB文件,命名为:跑马灯PCB板.PcbDOC,根据下图所示电路,设计相应的PCB板。

3、跑马灯PCB板设计参考: 三、打铃电路PCB板设计 1、创建工程文件和创建原理图文件, 工程文件名命名为:打铃电路PCB板设计.PrjPCB ,

原理图文件名为:打铃电路.SchDOC。 2、创建一个PCB文件,命名为:打铃电路PCB板.PcbDOC,根据下图所示电路,设计相应的PCB板。 3、打铃电路PCB板设计参考:

四、转换电路PCB板设计(双面板) 1、创建工程文件和创建原理图文件, 工程文件名命名为:转换电路PCB板设计.PrjPCB , 原理图文件名为:转换电路.SchDOC。 2、创建一个PCB文件,命名为:转换电路PCB板.PcbDOC,根据下图所示电路,设计相应的PCB板。

3、转换电路PCB板设计参考: 五、显示电路PCB板设计(双面板) 1、创建工程文件和创建原理图文件, 工程文件名命名为:显示电路PCB板设计.PrjPCB ,

PCB电路板ADP原理图与PCB设计教程第章

PCB电路板ADP原理图与PCB设计教程 第章

第4章原理图设计 在前面几章讲述了电路设计的基础知识后,现在可以学习具体的原理图设计。本章主要讲述电子元件的布置、调整、布线、绘图以及元件的编辑等,最后将以一个FPGA应用板原理图和一个译码器原理图设计为实例进行讲解。 4.1元件库管理 在向原理图中放置元件之前,必须先将该元件所在的元件库载入系统。如果一次载入过多的元件库,将会占用较多的系统资源,同时也会降低应用程序的执行效率。所以,最好的做法是只载入必要且常用的元件库,其他特殊的元件库在需要时再载入。一般在放置元件时,经常需要在元件库中查找需要放置的元件,所以需要进行元件库的相关操作。 4.1.1浏览元件库 浏览元件库可以执行Design→BrowseLibrary命令,系统将弹出如图4-1所示的元件库管理器。在元件库管理器中,用户可以装载新的元件库、查找元件、放置元件等。 图4-1元件库管理器 (1)查找元件 80

元件库管理器为用户提供了查找元件的工具。即在元件库管理器中,单击Search按钮,系统将弹出如图4-2所示的查找元件库对话框,如果执行T ools→Findponent命令也可弹出该对话框,在该对话框中,可以设定查找对象以及查找范围。可以查找的对象为包含在.Intlib文件中的元件。该对话框的操作及使用方法如下: 图4-2简单查找元件库对话框 1)简单查找。图4-2所示为简单查找对话框,如果要进行高级查找,则单击图4-2所示对话框中的“Advanced”按钮,然后会显示高级查找对话框。 ●Filters操作框。在该操作框中可以输入查找元件的域属性, 如Name等;然后选择操作算子(Operator),如 Equals(等于)、Contains(包含)、StartsWith(起始)或者 EndsWith(结束)等;在Vlaue(值)编辑框中可以输入或选 择所要查找的属性值。 ●Scope操作框。该操作框用来设置查找的范围。当选中 AvailableLibraries单选按钮时,则在已经装载的元件库中 查找;当选中LibrariesonPath单选按钮时,则在指定的

数字电子钟课程设计

电子钟亦称数显钟(数字显示钟),是一种用数字电路技术实现时、分、秒计时的装置。 数字电子钟的设计涉及到模拟电子与数字电子 技术,其中绝大部分是数字部分、逻辑门电路、数字逻辑表达式、计算真值表与逻辑函数间的关系、编码器、译码器显示等基本原理。现在主要用各种芯片实现其功能,更加方便和准确。使用数字电路,制作一个频率发生器,并通过其配合其他电路可将频率发生器的频率进行精确,精确后的频率信号送给计数系统,便可以由记数器产生时,分,秒的计数方式,通过配备的置零开关可将各个对应记数器进行置零,实现钟表的功能。 本设计可用中小规模集成电路组成电子钟;也可以利用专用的电子钟芯片配以显示电路及其所需要的外围电路组成电子钟。有很多用处诸如定时自动报警、按时自动打铃、时间程序自动控制、定时广播。

钟表的数字化给人们生产生活带来了极大的方便,而且大大地扩展了钟表原先的报时功能。广泛运用于各方面诸如定时自动报警、按时自动打铃、时间程序自动控制、定时广播。 数字电子钟由振荡器,分频器,译码器三大部分组成,其中振荡器和分频器构成准秒标准信号发生器;由不同进制的记数器、译码器和显示器组成计时系统。其中振荡器由“555定时器”构成占空比为0.5的多谐振荡器,产生频率为1KHZ的方波;分频器采用74LS90记数器构成三级十分频器;计时器由十进制记数器74LS190分别构成24进制和60进制记数器;显示译码器74LS47,显示管7SEG-COM-ANODE 构成显示部分,六个7段共阳极显示管便可将小时,分,秒,进行显示。 本课程设计在protues的仿真平台上进行仿真,仿真软件验证了该课程设计的正确性。 关键字:数字钟;计数器;显示器;555芯片

51单片机电子时钟课程设计实验报告

《单片机原理与应用》课程设计 总结报告 题目:单片机电子时钟(带秒表)的设计 设计人员:张保江江润洲 学号:2012197213 2012118029 班级:自动化1211 指导老师:阮海容

目录 1.题目与主要功能要求 (2) 2.整体设计框图及整机概述 (3) 3.各硬件单元电路的设计、参数分析及原理说明 (3) 4.软件流程图和流程说明 (4) 5.总结设计及调试的体会 (10) 附录 1.图一:系统电路原理图 (11) 2.图二:系统电路PCB (12) 3.表一:元器件清单 (13) 4.时钟程序源码 (14)

题目:单片机电子时钟的设计与实现 课程设计的目的和意义 课程设计的目的与意义在于让我们将理论与实践相结合。培养我们综合运用电子课程中的理论知识解决实际性问题的能力。让我们对电子电路、电子元器件、印制电路板等方面的知识进一步加深认识,同时在软件编程、排错调试、焊接技术、相关仪器设备的使用技能等方面得到较全面的锻炼和提高,为今后能够独立完成某些单片机应用系统的开发和设计打下一个坚实的基础。 课程设计的基本任务 利用89C51单片机最小系统,综合应用单片机定时器、中断、数码显示、键盘输入等知识,设计一款单片机和简单外设控制的电子时钟。 主要功能要求 最基本要求 1)使用MCS-51单片机设计一个时钟。要求具有6位LED显示、3个按键输入。 2)完成硬件实物制作或使用Pruteus仿真(注意位驱动应能提供足够的电流)。3)6位LED数码管从左到右分别显示时、分、秒(各占用2位),采用24小时标准计时制。开始计时时为000000,到235959后又变成000000。 4)使用3个键分别作为小时、分、秒的调校键。每按一次键,对应的显示值便加1。分、秒加到59后再按键即变为00;小时加到23后再按键即变为00。在调校时均不向上一单位进位(例如分加到59后变为00,但小时不发生改变)。 5) 软件设计必须使用MCS-51片内定时器,采用定时中断结构,不得使用软件延时法,也不得使用其他时钟芯片。 6)设计八段数码管显示电路并编写驱动程序,输入并调试拆字程序和数码显示程序。 7)掌握硬件和软件联合调试的方法。 8)完成系统硬件电路的设计和制作。 9)完成系统程序的设计。 10)完成整个系统的设计、调试和制作。 11)完成课程设计报告。 基本要求 1)实现最基本要求的1~10部分。 2)键盘输入可以控制电子时钟的走时/调试。 3)设计键盘输入电路和程序并调试。 4)掌握键盘和显示配合使用的方法和技巧。 提高发挥部分

相关文档
相关文档 最新文档