文档库 最新最全的文档下载
当前位置:文档库 › 基于FPGA的电子抢答器的程序设计

基于FPGA的电子抢答器的程序设计

基于FPGA的电子抢答器的程序设计
基于FPGA的电子抢答器的程序设计

基于FPGA的电子抢答器的程序设计

摘要

随着科学技术日新月异,文化生活日渐丰富,在各类竞赛、抢答场合电子抢答器已经作为一种工具得到了较为广泛的应用。顾名思义,电子抢答器是一种通过抢答者的指示灯显示、数码显示和警示显示等手段准确、公正、直观地判断出最先获得发言权选手的设备。

此次设计有4组抢答输入,每组设置一个抢答按钮供抢答者使用。电路具有第一抢答信号的鉴别和锁存功能。当第一抢答者按下抢答开关时,该组指示灯亮以示抢答成功。同时,电路也具备自锁功能,保证能够实现在一路成功抢答有效后,其他三路均不能抢答。本设计基于VHDL语言,采用FPGA为控制核心,并结合动手实践完成,具有电路简单、操作方便、灵敏可靠等优点。该四路抢答器使用VHDL硬件描述语言进行编程,分为七个模块:判断模块,锁存模块,转换模块,扫描模块,片选模块,定时报警模块和译码模块。编程完成后,使用QuartersII工具软件进行编译仿真验证。

关键词:VHDL,FPGA,四路抢答器,仿真

目录

1 概述 (1)

1.1 设计背景 (1)

1.2 抢答器现状 (1)

1.3 本论文主要完成的工作 (1)

1.4 设计心得 (2)

2 开发工具简介 (3)

2.1 VHDL语言简介 (3)

2.2 FPGA开发过程与应用 (4)

2.2.1 FPGA发展历程及现状 (4)

2.2.2 FPGA工作原理 (4)

2.2.3 FPGA开发流程 (5)

2.3 Quartus II软件 (6)

3系统设计 (8)

3.1 系统设计要求 (8)

3.2 系统设计方案 (8)

3.2.1 系统硬件设计方案 (8)

3.2.2 系统软件设计方案 (8)

3.3.3 系统原理详述 (10)

4 电路程序设计及仿真 (12)

4.1 抢答锁存模块设计 (12)

4.1.1 VHDL源程序 (12)

4.1.2 抢答锁存电路的模块 (13)

4.2 仿真 (14)

总结 (15)

致谢 (17)

参考文献 (18)

郑州轻工业学院

课程设计任务书

题目基于FPGA的电子抢答器的程序设计

专业班级电子信息工程10-1班学号姓名

主要内容、基本要求、主要参考资料等:

主要内容:

抢答器是在竞赛、文体娱乐活动(抢答活动)中,能准确、公正、直观地判断出抢答者的机器。要求学生使用硬件描述语言(Verilog 或者 VHDL)设计基于FPGA的电子抢答器的源程序。实现如下功能:设计一个四路抢答器;在一路成功抢答有效后,其他三路均不能抢答,并且将抢答成功的一路用指示灯显示出来。

基本要求:

1、学会quartusII的使用,掌握FPGA 的程序设计方法。

2、掌握硬件描述语言语法。

4、程序设计完成后要求在quartusII中实现功能仿真。

主要参考资料:

1、褚振勇. FPGA设计及应用(第三版)[M].西安电子科技大学出版社.2012,4

2、陈怀琛.MATLAB及在电子信息课程中的应用[M].北京:电子工业出版

社.2008,1

完成期限:2013.6.21—2013.6.25

指导教师签名:

课程负责人签名:

2013年6月18日

1 概述

1.1 设计背景

现场可编程门阵列(简称FPGA)是20世纪80年代中期出现的高密度可编程逻辑器件,采用SRAM开关元件的FPGA是易失性的,每次重新加电, FPGA都要重新装入配置数据。突出优点是可反复编程,系统上电时,给FPGA加载不同的配置数据,即可令其完成不同的硬件功能。这种配置的改变甚至可以在系统的运行中进行,实现系统功能的动态重构。“在系统可编程”(简称ISP)是指对器件、电路或整个电子系统的逻辑功能可随时进行修改或重构的能力,支持ISP技术的可编程逻辑器件称为在系统可编程逻辑器件,它不需要专门的编程器,利用计算机接口和一根下载电缆就可以对器件编程了。本设计针对电子技术综合实验的要求,利用EDA技术中quartusII作为开发工具,设计了一款基于FPGA的智力竞赛抢答器。

1.2 抢答器现状

在进行智力竞赛抢答题比赛时,各参赛者考虑后都想抢先答题。如果没有合适的设备,有时难以分清他们的先后,使主持人感到为难。为了使比赛能顺利进行,需要有一个能判断抢答先后的设备,我们将它称为智力竞赛抢答器。在许多抢答竞赛、文体娱乐活动,为了准确、公正、直观地判断出第一抢答者,通常需要设置一台这样的抢答器,通过指示灯显示出第一抢答者。

1.3 本论文主要完成的工作

本课程设计基于VHDL语言,采用FPGA为控制核心,并结合动手实践完成,具有电路简单、操作方便、灵敏可靠等优点。设计四路抢答器使用VHDL 硬件描述语言进行编程,分为七个模块:判断模块,锁存模块,转换模块,扫描模块,片选模块,定时报警模块和译码模块。编程完成后,使用QuartersII工具软件进行编译仿真验证。系统达到要求:在一路成功抢答有效后,其他三路均不

能抢答,并且将抢答成功的一路用指示灯显示出来。

1.4 设计心得

通过这次课程设计,帮助我们加深理解FPGA程序设计方法,学会quartusII 软件的使用,了解简单多功能抢答器组成原理,掌握在quartusII中实现功能仿真的方法,相应地提高动手能力和排障能力,并且良好地巩固已学的理论知识,将硬件描述语言语法与实践相结合。通过分析多功能抢答器各单元电路之间的关系及相互影响,从而能正确设计、计算定时计数的各个单元电路。

2 开发工具简介

2.1 VHDL语言简介

VHDL的英文全名是Very-High-Speed Integrated Circuit HardwareDescription Language,诞生于1982年。1987年底,VHDL被IEEE和美国国防部确认为标准硬件描述语言。自IEEE公布了VHDL的标准版本,IEEE-1076之后,各EDA 公司相继推出了自己的VHDL设计环境,或宣布自己的设计工具可以和VHDL 接口。此后VHDL在电子设计领域得到了广泛的接受,并逐步取代了原有的非标准的硬件描述语言。1993年,IEEE对VHDL进行了修订,从更高的抽象层次和系统描述能力上扩展VHDL的内容,公布了新版本的VHDL,即IEEE标准的1076-1993版本。现在,VHDL和Verilog作为IEEE的工业标准硬件描述语言,又得到众多EDA公司的支持,在电子工程领域,已成为事实上的通用硬件描述语言。有专家认为,在新的世纪中,VHDL于Verilog语言将承担起大部分的数字系统设计任务。

VHDL主要用于描述数字系统的结构,行为,功能和接口。除了含有许多具有硬件特征的语句外,VHDL的语言形式和描述风格与句法是十分类似于一般的计算机高级语言。VHDL的程序结构特点是将一项工程设计,或称设计实体(可以是一个元件,一个电路模块或一个系统)分成外部(或称可是部分,及端口)和内部(或称不可视部分),既涉及实体的内部功能和算法完成部分。在对一个设计实体定义了外部界面后,一旦其内部开发完成后,其他的设计就可以直接调用这个实体。这种将设计实体分成内外部分的概念是VHDL系统设计的基本点VHDL语言目前在数字设计领域已为广大设计者所接受,众多CAD厂商纷纷使自己新开发的电子设计软件与VHDL兼容,VHDL语言成了电子设计工程师必须掌握的工具。在目前,CPU和可编程逻辑器件已经成为数字系统的硬件基础,从事数字系统的设计必须掌握可编程逻辑器件的设计方法,而VHDL语言作为可编程逻辑器件设计时最重要的输入方法,为所有可编程逻辑器件厂商所支持。应用VHDL进行工程设计的优点是多方面的。

一、与其他的硬件描述语言相比,VHDL具有更强的行为描述能力,从而决

定了他成为系统设计领域最佳的硬件描述语言。强大的行为描述能力是避开具体的器件结构,从逻辑行为上描述和设计大规模电子系统的重要保证。

二、VHDL丰富的仿真语句和库函数,使得在任何大系统的设计早期就能查验设计系统的功能可行性,随时可对设计进行仿真模拟。

三、VHDL语句的行为描述能力和程序结构决定了他具有支持大规模设计的分解和已有设计的再利用功能。符合市场需求的大规模系统高效,高速的完成必须有多人甚至多个代发组共同并行工作才能实现。

四、对于用VHDL完成的一个确定的设计,可以利用EDA工具进行逻辑综合和优化,并自动的把VHDL描述设计转变成门级网表。

五、VHDL对设计的描述具有相对独立性,设计者可以不懂硬件的结构,也不必管理最终设计实现的目标器件是什么,而进行独立的设计。

2.2 FPGA开发过程与应用

随着现场可编程逻辑器件越来越高的集成度,加上不断出现的I/O标准、嵌入功能、高级时钟管理的支持,使得现场可编程逻辑器越来越广泛。

2.2.1 FPGA发展历程及现状

从Xilinx公司推出了世界上第一片FPGA(现场可编程逻辑芯片),FPGA已经历几十年的发展。从最初的一千多可利用门,发展到90年代的几十万个可利用门,到十一世纪又陆续推出了几千万门的单片FPGA芯片。FPGA使用灵活,适用性强,特别适用于复杂逻辑的设计,有利用电子系统小型化,而且其开发周期短、开发投入少、芯片价格不断降低,促使FPGA越来越多地取代了ASIC的市场。

2.2.2 FPGA工作原理

FPGA采用了逻辑单元阵列LCA(Logic Cell Array)这样一个概念,内部包括可配置逻辑模块CLB(Configurable Logic Block)、输出输入模块IOB(Input Output Block)和内部连线(Interconnect)三个部分。FPGA的基本特点主要有:

1)采用FPGA设计ASIC电路,用户不需要投片生产,就能得到合用的芯片。

2)FPGA可做其它全定制或半定制ASIC电路的中试样片。

3)FPGA内部有丰富的触发器和I/O引脚。

4)FPGA是ASIC电路中设计周期最短、开发费用最低、风险最小的器件之一。

5) FPGA采用高速CHMOS工艺,功耗低,可以与CMOS、TTL电平兼容。

可以说,FPGA芯片是小批量系统提高系统集成度、可靠性的最佳选择之一。

FPGA是由存放在片内RAM中的程序来设置其工作状态的,因此,工作时需要对片内的RAM进行编程。用户可以根据不同的配置模式,采用不同的编程方式。

加电时,FPGA芯片将EPROM中数据读入片内编程RAM中,配置完成后,FPGA进入工作状态。掉电后,FPGA恢复成白片,内部逻辑关系消失,因此,FPGA能够反复使用。FPGA的编程无须专用的FPGA编程器,只须用通用的EPROM、PROM编程器即可。当需要修改FPGA功能时,只需换一片EPROM 即可。这样,同一片FPGA,不同的编程数据,可以产生不同的电路功能。因此,FPGA的使用非常灵活。

2.2.3 FPGA开发流程

FPGA开发流程可以分为如下几步:

①设计输入,设计输入主要包括原理图输入、状态图输入、波形图输入以及某种硬件描述语言,比如说是VHDL、Verilog的源程序。它是利用这些输入去描述一个电路的功能。

②功能仿真,功能仿真就是利用相关仿真工具对相关电路进行功能级别仿真,也就是说对你的输入设计的逻辑功能进行相关的模拟测试。在功能上面来了解电路是否能够达到预期要求。这里的功能仿真纯粹是模拟性质的,不会设计的任何具体器件的硬件特性。

③综合,综合就是行为或者功能层次表达的电子系统转换成低层次门级电路

的网表。

④布局布线,就是将综合后的网表文件针对某一个具体的目标器件进行逻辑映射。此时应该使用FPGA厂商提供的实现与布局布线工具,根据所选芯片的型号,进行芯片内部功能单元的实际连接与映射。

⑤时序验证,就是要使得时序仿真过程中,建立与保持时间要符合相关的制约,以便数据能被正确的传输。使仿真既包含门延时,又包含线延时信息。能较好地反映芯片的实际工作情况。

⑥生成SOF等文件,此文件可以通过调试器把它下载到系统中间去。而FPGA设计流程的其他步骤基本上由相关工具去完成,因此只要自己设置好相关参数,不要人为干预太多。而验证的话就需要用户花费大量的时间去完成。

2.3 Quartus II软件

Quartus II是Altera提供的FPGA/CPLD开发集成环境,Altera是世界最大可编程逻辑器件供应商之一。Quartus II在21世纪初推出,是Altera前一代FPGA/CPLD集成开发环境MAX+plus II的更新换代产品,其界面友好,使用便捷。在Quartus II上可以完成设计输入、HDL综合、布线布局(适配)、仿真和下载和硬件测试等流程,它提供了一种与结构无关的设计环境,使设计者能方便地进行设计输入、快速处理和器件编程。

Altera的Quartus II 提供了完整的多平台设计环境,能满足各种特定设计的需要,也是单芯片可编程系统(SOPC)设计的综合性环境和SOPC开发的基本设计工具,并为Altera DSP开发包进行系统模型设计提供了集成综合环境。Quartus II设计工具完全支持VHDL、Verylog的设计流程,其内部嵌有VHDL、Verilog逻辑综合器。Quartus II也可以利用第三方的综合工具,如Leonardo Spectrum、Synplify Pro、FPGA Complier II,并能直接调用这些工具。同样,Quartus II具备仿真功能,同时也支持第三方的仿真工具,如ModelSim。此外,Quartus II 与MATLAB和DSP Builder结合,可以进行基于FPGA的DSP系统开发,是DSP 硬件系统实现的关键EDA工具。

Quartus II包括模块化的编译器。编译器包括的功能模块有分析/综合器(Analysis & Synthesis)、适配器(Filter)、装配器(Assembler)、时序分析器(Timing

Analyzer)、设计辅助模块(Design Assistant)、EDA网表文件生成器(EDA Netlist Writer)和编辑数据接口(Complier Database Interface)等。可以通过选择Start Complication来运行所有的编译器模块,也可以通过选择Start单独运行各个模块。还可以通过选择Complier Tool(Tools 菜单),在Complier Tool 窗口中运行该模块来启动编辑器模块。在Complier Tool 窗口中,可以打开该模块的设置文件或报告文件,或打开其他相关窗口。

Quartus II编译设计的主控界面显示了Quartus II自动设计的各主要处理环节和设计流程,包括设计输入编辑、设计分析与综合、适配、编程文件汇编(装配)、时序参数提取以及编程下载几个步骤。

图1 Quartus II设计流程

3系统设计

3.1 系统设计要求

抢答器是在竞赛、文体娱乐活动(抢答活动)中,能准确、公正、直观地判断出抢答者的机器。本设计使用硬件描述语言VHDL设计基于FPGA的电子抢答器的源程序。要求实现如下功能:设计一个四路抢答器;在一路成功抢答有效后,其他三路均不能抢答,并且将抢答成功的一路用指示灯显示出来。

3.2 系统设计方案

3.2.1 系统硬件设计方案

本设计分为硬件设计和软件设计,这两者相互结合,不可分离;从时间上看,硬件设计的绝大部分工作量是在最初阶段,到后期往往还要做一些修改。只要技术准备充分,硬件设计的大返工是比较少的,软件设计的任务贯彻始终,到中后期基本上都是软件设计任务。

图2 硬件设计流程

3.2.2 系统软件设计方案

软件设计和硬件电路设计应结合进行,哪些功能由硬件完成,哪些任务由软件完成,在硬件电路设计基本定型后,也就基本上决定下来了。

软件任务分析环节是为软件设计做一个总体规划。从软件的功能来看可分为两大类:一类是执行软件,它能完成各种实质性的功能,如测量,计算,显示,输出控制和通信等,另一类是监控软件,它是专门用来协调各执行模块和操作者的关系,在系统软件中充当组织调度角色的软件。这两类软件的设计方法各有特色,执行软件的设计偏重算法效率,与硬件关系密切,千变万化。

软件任务分析时,应将各执行模块一一列出,并为每一个执行模块进行功能定义和接口定义(输入输出定义)。在各执行模块进行定义时,将要牵扯到的数据结构和数据类型问题也一并规划好。

各执行模块规划好后,就可以监控程序了。首先根据系统功能和键盘设置选择一种最适合的监控程序结构。相对来讲,执行模块任务明确单纯,比较容易编程,而监控程序较易出问题。这如同当一名操作工人比较容易,而当一个厂长就比较难了。

图3 软件设计流程

3.3.3系统原理详述

图4 具有完整抢答器功能的结构图

根据对系统设计要求的分析可知,系统的输入信号有:各组的抢答按钮S0,S1,S2,S3输入,复位信号CLEAR用于控制整个系统清0,按下该复位键系统清0,抬起该复位键抢答开始。在主持人将系统复位并使抢答有效开始后,S0,S1,S2,S3任何第一抢答者按下抢答按钮,对应的输入引脚接高电位1,电路记忆下第一抢答者身份,并封锁其他各组的按钮,即其他任何一组按键都不会使电路响应,完成抢答过程。系统的输出信号有:四组抢答成功与否的指示灯控制信号输出口LEDA、LESB、LEDC、LEDD,当任何第一抢答者成功抢答后指示灯亮起。本系统应具有的功能有:第一抢答信号的鉴别和锁存功能,指示灯显示第一抢答者功能。

根据分析我们可以把该四路抢答器设计分为判断模块,锁存模块,扫描模块等部分,依据各模块功能编写相应的源程序,并对相应的程序进行编译以及时序仿真。若正确就进行到下一个模块的编写,若错误就修改直至编译成功。

系统的具体工作原理如下:抢答锁存模块主要实现抢答过程中的抢答功能,并且能实现当有一路抢答按键按下时,该路抢答信号将其余抢答信号封锁的功能。在这个模块输入端有WARN输入(以时间控制系统的WARN输出信号为信号源)、一个和时间控制系统公用的CLEAR端、4人抢答输入信号端S0,S1,S2,S3和一个时钟信号端CLK,这个时钟信号是个高频信号,用以扫描S0,S1,

S2,S3是否有信号输入。输出端有对应于S0,S1,S2,S3编号的4个指示灯LED和4线2进制输出端STATES(用于锁存当前的状态),还有一个STOP端用于指示S0,S1,S2,S3按钮状态。在此模块中高频时钟信号一直作用,此时,若主持人按下CLEAR即为开始抢答信号,所有输出端都自动清零。在有效时间范围内只要有人抢答,STOP就有高电平输出至时间控制系统的STOP端以控制时间的停止,并且对应的LED指示灯点亮,STATES锁存输出以显示优先抢答人的组号,并锁定输入端S以阻止系统响应其他抢答者的信号。

4 电路程序设计及仿真

4.1 抢答锁存模块设计

该模块主要实现抢答过程中的抢答功能。在系统复位并使抢答有效开始后,当S0,S1,S2,S3任意一路第一抢答者按下抢答按钮,对应的输入引脚接高电位1,电路记忆下第一抢答者身份,信号输入并进行锁存,其他任何一组按键都不会使电路响应,完成抢答过程。

4.1.1 VHDL源程序

LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL;

ENTITY LOCK IS

PORT( CLK,CLEAR:IN STD_LOGIC;

WARN:IN STD_LOGIC;

S0,S1,S2,S3:IN STD_LOGIC;

STATES:OUT STD_LOGIC_VECTOR(3 DOWNTO 0);

STOP:OUT STD_LOGIC;

LED:OUT STD_LOGIC_VECTOR(3 DOWNTO 0));

END LOCK;

ARCHITECTURE ONE OF LOCK IS

SIGNAL G:STD_LOGIC_VECTOR(3 DOWNTO 0);

BEGIN

PROCESS(CLEAR,CLK,S0,S1,S2,S3)

BEGIN

IF CLEAR='1' THEN G<="0000";LED<="0000";STOP<='0';

ELSIF CLK'EVENT AND CLK='1' THEN

IF WARN='0' THEN

IF( S3='1')AND NOT(G(0)='1' OR G(1)='1' OR G(2)='1') THEN

G(3)<='1';LED(3)<='1';

ELSIF( S2='1')AND NOT(G(0)='1' OR G(1)='1' OR G(3)='1') THEN

G(2)<='1';LED(2)<='1';

ELSIF( S1='1')AND NOT(G(0)='1' OR G(2)='1' OR G(3)='1') THEN

G(1)<='1';LED(2)<='1';

ELSIF( S0='1')AND NOT(G(1)='1' OR G(2)='1' OR G(3)='1') THEN

G(0)<='1';LED(3)<='1';

END IF;

STOP<=G(0) OR G(1) OR G(2) OR G(3);

END IF;

END IF;

CASE G IS

WHEN "0001"=>STATES<="0001";

WHEN "0010"=>STATES<="0010";

WHEN "0100"=>STATES<="0011";

WHEN "1000"=>STATES<="0100";

WHEN OTHERS=>STATES<="0000";

END CASE;

END PROCESS;

END ARCHITECTURE ONE;

4.1.2 抢答锁存电路的模块

在这个模块中主要实现抢答过程中的抢答功能,并且能实现当有一路抢答按键按下时,该路抢答信号将其余个绿抢答封锁的功能。在这个模块输入端有WARN输入(以时间控制系统的WARN输出信号为信号源)、一个和时间控制系统公用的CLEAR端、4人抢答输入信号端S0,S1,S2,S3和有一个时钟信号端CLK,这个时钟信号是个高频信号,用以扫描S0,S1,S2,S3是否有信号输入。输出端有对应于S0,S1,S2,S3编号的4个指示灯LED 和4线2进制输出端STATES (用于锁存当前的状态),还有一个STOP 端用于指示S0,S1,S2,S3按钮状态。

图5 抢答锁存电路的模块

4.2 仿真

图6 仿真图

由图中可看出在复位信号CLEAR从高电平降到低电平后,抢答器开始正常工作,此时抢答开始。在此之前S0抢答无效。而S2作为第一抢答者最先抢答,这时开始报警,数码管输出显示1,说明A最先抢答。

总结

一周的FPGA课程设计很快就过去了,其中真是有苦更有甜,苦的是我在第一次独自设计一个应用型设备时的不熟悉和不知所措,甜的是经过一周的时间我通过回顾课本知识、询问同学老师和上网学习收获到了很多专业方面的知识更加锻炼了我的动手能力和专业技能。

记得大二下学期第一次上EDA程序设计课的时候,我对硬件设计语言是那么的难以上手,通过杜老师一学期细致的讲解,配合实验课程让我对EDA相关知识、VHDL编程、quartusII软件、MAX_PLUSⅡ软件有了更深的理解和更熟练的掌握。

本次我的课程设计为四路竞赛抢答器,根据电路的特点,我采用层次化结构化设计,将此项设计任务分成部分模块,分别对各个模块进行编程,然后再将各模块合起来编译,这一步一步的加深了我们对于层次化设计的理解和对VHDL 设计流程的熟悉。在设计的过程中,遇到问题我们尽量独立思考,查找资料,到自己不能解决的时候就和同小组同学研究讨论,或者向指导老师请教。这样的经历不仅提高了我们独立发现问题、分析问题、解决问题的能力,又很好地培养了交流合作的精神。

通过这次课程设计,进一步加深了我对EDA技术的了解,让我更加明确VHDL的设计流程,并产生了更加浓厚的兴趣。特别是当每一个模块程序调试成功时,内心的满足感真的无法形容。这次经历更让我懂得了理论与实际相结合是十分重要的,只有理论知识是远远不够的,只有把所学的理论知识与实践相结合起来,从理论中得出结论,使理论服务于实际,才能真正为社会服务。在设计的过程中我们不可避免的遇到各种问题,因为这毕竟第一次做的,难免会不尽善尽美。同时在设计的过程中发现了自己不少不足之处,对以前所学过的知识理解得不够深刻,掌握得不够牢固,以后一定加强基础知识的学习。在这次课程设计种我积累了很多宝贵的经验,这对于未来出身社会的我无疑是一笔巨大财富。没有谁是天生就知道那个该怎么做的,都是要靠自己慢慢去探索、学习、尝试的。我深刻知道用VHDL硬件描述语言的形式来进行数字系统的设计方便灵活,利用EDA软件进行编译优化仿真极大地减少了电路设计时间和可能发生的错误,降

低了开发成本。这些课程知识的学习我们电子信息工程的同学提供了莫大的帮助,我们理当认真学习这些语言和算法。

总体来说,这次有意义的课程设计让我们都收获颇丰。在学习了EDA技术一学期后我们接着跟随杜老师学习了单片机,在这个知识融会贯通的节骨眼上开展了基于FPGA的课程设计无疑帮助我们更好的理解软件设计的方法,培养了我们独立完成一个项目设计的能力,进一步加深了对EDA技术相关课程的理解,增强了以后专业学习的兴趣,为以后深入学习甚至是工作都积累了宝贵的经验,感谢杜老师和耿老师给我们提供这次设计的机会。

致谢

本次课程设计是在我的导师杜老师和耿老师悉心的指导和耐心的帮助之下才得以顺利完成的。这些专业基础知识正是我的EDA技术兼单片机任课老师杜海明老师和FPGA高级应用任课老师耿鑫老师在一节又一节富含激情而且充满了专业前沿知识的课堂中点点滴滴的传授给我们的。两位恩师那严谨的治学态度和渊博的专业知识时时刻刻鼓励着我不断前行,犹记得杜老师为了给我们做实验中午无法休息,周末来到学校加班,对待同学们像自己的孩子一样慈祥而关爱,也难忘耿老师对待学生一丝不苟,为我们补课做实验依然如日常教学一般认真。老师们诲人不倦的作风和踏实认真地品格无不深深的影响着我,受益无穷,我很荣幸能成为这样优秀的教师的学生,借此课程设计结束之际特向这些勤勤恳恳的专业课老师们表达我最深最诚挚的敬意,谢谢你们!

同时,我还要感谢我的同学们和所有给予这次课程设计帮助的老师们,遇到困难时我们一起讨论如何解决,调试成功时我们欢呼雀跃为了一点点成功激动不已,不积跬步无以成千里,相信通过这一点一滴的进步我们一定能够成为像各位老师一样富有专业技能为社会做出贡献的有为之士!

最后,再一次真诚的感谢各位老师和同学们,也谢谢这次答辩的老师们,感谢您百忙之中抽出时间为我们提出宝贵的意见和建议,谢谢你们!

电子产品设计之四路抢答器的设计

《电子产品设计》 设计报告 设计时间: 2010年11月 班级: 09网络工程4班姓名: 报告页数: 7页

广东工业大学课程设计报告 设计题目四路抢答器的设计 学院计算机学院专业 09网络工程班 4班 学号姓名 (合作者____号____)成绩评定_______ 教师签名_______

一、设计任务和要求 1.设计任务 (1)设计一台可供4名选手参加比赛的智力竞赛抢答器。用数字显示抢答倒计时间,由“9”倒计到“0”时,无人抢答,蜂鸣器鸣响。选手抢答时,数码显示选手组号,同时蜂鸣器鸣响,倒计时停止。 (2)分组: A负责抢答控制电路和定时电路。 B负责第一信号鉴别电路和核心控制电路。 2.设计要求 (1)4名选手编号为:1,2,3,4。各有一个抢答按钮,按钮的编号与选手的编号对应,也分别为1,2,3,4。 (2)给主持人设置一个控制按钮,用来控制系统清零(抢答显示数码管灭灯)和抢答的开始。 (3)抢答器具有数据锁存和显示的功能。抢答开始后,若有选手按动抢答按钮,该选手编号立即锁存,并在抢答显示器上显示该编号,同时扬声器给出音响提示,封锁输入编码电路,禁止其他选手抢答。抢答选手的编号一直保持到主持人将系统清零为止。抢答器具有定时(15秒)抢答的功能。 (4)当主持人按下开始按钮后,定时器开始倒计时,定时显示器显示倒计时间,若无人抢答,倒计时结束时,扬声器响。参赛选手在设定时间(15秒)内抢答有效,抢答成功,扬声器响,同时定时器停止倒计时,抢答显示器上显示选手的编号,定时显示器上显示剩余抢答时间,并保持到主持人将系统清零为止。 (5)如果抢答定时已到,却没有选手抢答时,本次抢答无效。系统扬声器报警,并封锁输入编码电路,禁止选手超时后抢答,时间显示器显示0。 (6)用石英晶体振荡器产生频率为1Hz的脉冲信号,作为定时计数器的CP信号。 二、总体方案选择 本设计电路主要由脉冲产生电路、锁存电路、编码及译码显示电路、倒计时电路和音响产生电路组成。当有选手抢答时,首先锁存,阻止其他选手抢答,然后编码,再经3线8段译码器将数字显示在显示器上同时产生音响。系统原理框图如下: 图1 四人智力竞赛抢答器框图

角度传感器应用电路设计

磁阻式传感器KMZ41的特点: 内部包含有两个有磁阻构成的、位置成正交的、独立的电桥(Wheatstone Bridge)。其内部结构如下图所示: 将KMZ41置于有X轴、Y轴构成的平面上,当旋转磁场强度变化时,KMZ41就会产生两路正弦输出的信号,两信号的相位差就代表芯片轴向与磁场方向的夹角a,输出信号波形如下图所示: 图1 图2 图1为KMZ41产生的两路正弦输出信号;图2为芯片轴向与磁场方向的夹角。UZZ9001的内部结构与工作原理: UZZ9001的芯片内部包括A/D转换器1和A/D转换器2、滤波器、算法逻辑、SPI接口、时钟振荡器、;逻辑控制及复位等。UZZ9001Y与KMZ41连接,能够将磁阻式传感器KMZ41输出的两个有相位差的正弦信号转换成数字信号输出,与微控制器配套构成一个角度测量系统。 *

角度传感器部分设计: 方案一 由UZZ9000和KMZ41构成的角度检测电路: UZZ9000为线性电压输出式角度传感器调理器电路,输出电压与被测角度信号成正比;测量角度的范围是0~180°,且在0~100°范围内;测量误差小于±0.45°分辨力达0.1°;测量范围和输出零点均可调节;电源电压范围为+4.5~+5.5V;电源电流为10mA;工作温度范围是-40~+150℃。 由UZZ9000和KMZ41构成的电压输出式角度检测电路如图所示。改变R2和R3的比值,可以调节传感器1的偏移量;改变R4和R5的阻值,可以调节传感器2的偏移量;改变R6和R7的比值,可以调节零点偏移;改变R8和R9的比值;可以调节测量角度范围。电阻R2~R9可以采用电位器代替。电路输出电压送至数字电压表或者微控制器系统,即可显示出被测角度值。该电路可广泛用于发动机凸轮/曲轴速度及位置检测、节流阀控制、转向操作控制、汽车中的ABS系统等领域。 注:1.设置角度范围。在UZZ9000的引脚端13加上不同的外部电压可以选择0~30到0~180共16个不同的角度范围。

单片机的四路电子抢答器设计

目录 1设计要求与功能 (4) 1.1设计基本要求 (4) 2 硬件设计 (4) 2.1控制系统及所需元件 (4) 2.2抢答器显示模块 (5) 2.3 电源方案的选择 (6) 2.4 抢答器键盘的选择 (6) 2.5蜂鸣器模块 (7) 2.6外部振荡电路 (7) 3 程序设计 (7) 3.1程序流程图 (7) 3.2系统的调试............................................... (9) 3.3 焊接的问题及解决 (10) 4总结 (10) 附录C程序 (11)

一设计要求与功能 1.1设计基本要求 (1)抢答器同时供4名选手或4个代表队比赛使用,分别用4个按钮K1~K4表示。 (2)设置裁判开关k5和清零开关k6,该开关由主持人控制,当主持人按下k6,系统复位,预备抢答,当主持人按下总控制控制开关k5,开始抢答; (3)抢答器具有定时抢答功能,抢答时间为倒计时15秒。当主持人启动“开始”键后,定时器进行减计时,同时扬声器发出短暂的提示声响,声响持续的时间0.5秒左右,当计时小于5秒后,每减少一秒,便报警一次以提示选手。 (4)抢答器具有锁存功能,参赛选手在设定的时间内进行抢答,抢答有效,蜂鸣器发声,计时停止,数码管上显示选手的编号和时间,选手相应的信号灯被点亮,其他选手再抢答时无效。 (5)如果定时时间已到,无人抢答,本次抢答无效,系统报警并禁止抢答。等待下一轮抢答。 。 二硬件设计 2.1控制系统及所需元件 控制系统主要由单片机应用电路、存储器接口电路、显示接口电路组成。其中单片机STC89C52是系统工作的核心,它主要负责控制各个部分协调工作。 所需元件:该系统的核心器件是 STC89C52。各口功能: P0.0-P0.3 是数码管的位选口; P2.0-P2.7是数码管的段选口,为其传送段选信号; P1.0-P1.3是4组抢答信号的输入口; P1.4、P1.5由裁判控制,分别是抢答开始\复位功能键; P1.6为蜂鸣器的控制口; P3.4-P3.7为选手信号灯输出口; 在其外围接上电复位电路、数码管电路、LED发光二极管、按键电路及扬声器电路。 电子抢答器用单片机来设计制作完成的,由于其功能的实现主要是通过软件

多路抢答器毕业论文

毕业设计(论文)课题: 学生: 系部: 班级: 学号: 指导教师: 装订交卷日期: 装订顺序: (1)封面(2)毕业设计(论文)成绩评定记录(3)标题、中文摘要及关键词(4)正文(5)附录(6)参考文献

毕业设计(论文)成绩评定记录表 指导教师评语(包含学生在毕业实习期间的表现): 成绩(平时成绩): 指导教师签名: 年月日 评阅教师评语: 成绩(评阅成绩): 评阅教师签名: 年月日 答辩情况记录: 答辩成绩: 答辩委员会主任(或答辩教师小组组长)签名: 年月日 总评成绩: 注:1.此表适用于参加毕业答辩学生的毕业设计(论文)成绩评定; 2.平时成绩占20%、卷面评阅成绩占50%、答辩成绩占30%,在上面的评分表中,可分别按20分、50分、30分来量化评分,三项相加所得总分即为总评成绩,总评成绩请转换为优秀、良好、中等、及格、不及格五等级计分。 教务处制

毕业设计(论文)成绩评定记录表 指导教师评语(包含学生在毕业实习期间的表现): 成绩(平时成绩): 指导教师签名: 年月日 评阅教师评语: 成绩(评阅成绩): 评阅教师签名: 年月日 总评成绩: 注:1.此表适用于不参加毕业答辩学生的毕业设计(论文)成绩评定; 2.平时成绩占40%、卷面评阅成绩占60%,在上面的评分表中,可分别按40分、60分来量化评分,二项相加所得总分即为总评成绩,总评成绩请转换为优秀、良好、中等、及格、不及格五等级计分。 教务处

基于单片机的简易多路智力抢答器 摘要 抢答器是一种应用非常广泛的设备,在各种竞赛、抢答场合中,它能迅速、客观地分辨出最先获得发言权的选手。早期的抢答器只由几个三极管、可控硅、发光管等组成,能通过发光管的指示辨认出选手号码。现在随着电子技术的发展,大多数抢答器均已使用单片机来实现抢答功能,具有功能齐全,电路简单,成本低,性能高等优点。单片机以其较高的可靠性、准确性和可拓展性受到越来越广泛的应用。本设计就是基于MCS-51单片机为控制核心,结合周边电路实现的一个简易多路智力抢答器。 关键词:抢答器;单片机;功能

传感器应用电路设计.

传感器应用电路设计 电子温度计 学校:贵州航天职业技术学院 班级:2011级应用电子技术 指导老师: 姓名: 组员:

摘要 传感器(英文名称:transducer/sensor)是一种检测装置,能感受到被测量的信息,并能将检测感受到的信息,按一定规律变换成为电信号或其他所需形式的信息输出,以满足信息的传输、处理、存储、显示、记录和控制等要求。它是实现自动检测和自动控制的首要环节。 本文将介绍一种基于单片机控制的数字温度计。在件方面介绍单片机温度控制系统的设计,对硬件原理图做简洁的描述。系统程序主要包括主程序、读出温度子程序、温度转换命令子程序、计算温度子程序、显示数据刷新子程序。软硬件分别调试完成以后,将程序下载入单片机中,电路板接上电源,电源指示灯亮,按下开关按钮,数码管显示当前温度。由于采用了智能温度传感器DS18B20,所以本文所介绍的数字温度计与传统的温度计相比它的转换速率极快,进行读、写操作非常简便。它具有数字化输出,可测量远距离的点温度。系统具有微型化、微功耗、测量精度高、功能强大等特点,加之DS18B20内部的差错检验,所以它的抗干扰能力强,性能可靠,结构简单。 随着科技的不断发展,现代社会对各种信息参数的准确度和精确度的要求都有了几何级的增长,而如何准确而又迅速的获得这些参数就需要受制于现代信息基础的发展水平。在三大信息信息采集(即传感器技术)、信息传输(通信技术)和信息处理(计算机技术)中,传感器属于信息技术的前沿尖端产品,尤其是温度传感器技术,在我国各领域已经引用的非常广泛,可以说是渗透到社会的每一个领域,人民的生活与环境的温度息息相关,在工业生产过程中需要实时测量温度,在农业生产中也离不开温度的测量,因此研究温度的测量方法和装置具有重要的意义。 测量温度的关键是温度传感器,温度传感器的发展经历了三个发展阶段:①传统的分立式温度传感器②模拟集成温度传感器③智能集成温度传感器。 目前的智能温度传感器(亦称数字温度传器)是在20世纪90年代中期问世的,它是微电子技术、计算机技术和自动测试技术(ATE)的结晶,特点是能输出温度数据及相关的温度控制量,适配各种微控制器(MCU)。社会的发展使人们对

四路抢答器设计

09电子信息工程专业技能训练总结 题目:四路抢答器设计 班级:电子信息工程092班 姓名: 学号: 2012年5月

四路抢答器设计 一、设计要求及方案设计 1.1设计任务和要求 1)设计任务 设计一台可供4名选手参加比赛的智力竞赛抢答器。用数字显示抢答倒计时间,由?9?倒计到?0?时,无人抢答,蜂鸣器连续响1秒。选手抢答时,数码显示选手组号,同时蜂鸣器响1秒,倒计时停止。 2)设计要求 (1)4名选手编号为:1,2,3,4。各有一个抢答按钮,按钮的编号与选手的编号对应,也分别为1,2,3,4。 (2)给主持人设置一个控制按钮,用来控制系统清零(抢答显示数码管灭灯)和抢答的开始。 (3)抢答器具有数据锁存和显示的功能。抢答开始后,若有选手按动抢答按钮,该选手编号立即锁存,并在抢答显示器上显示该编号,同时扬声器给出音响提示,封锁输入编码电路,禁止其他选手抢答。抢答选手的编号一直保持到主持人将系统清零为止。 (4)抢答器具有定时(9秒)抢答的功能。当主持人按下开始按钮后,定时器开始倒计时,定时显示器显示倒计时间,若无人抢答,倒计时结束时,扬声器响,音响持续1秒。参赛选手在设定时间(9秒)内抢答有效,抢答成功,扬声器响,音响持续1秒,同时定时器停止倒计时,抢答显示器上显示选手的编号,定时显示器上显示剩余抢答时间,并保持到主持人将系统清零为止。 (5)如果抢答定时已到,却没有选手抢答时,本次抢答无效。系统扬声器报警(音响持续1秒),并封锁输入编码电路,禁止选手超时后抢答,时间显示器显示0。 的脉冲信号,作为 (6)可用石英晶体振荡器或者555定时器产生频率为1H z 定时计数器的CP信号。

基于单片机的6路抢答器设计毕业设计论文

毕业设计(论文)原创性声明和使用授权说明 原创性声明 本人郑重承诺:所呈交的毕业设计(论文),是我个人在指导教师的指导下进行的研究工作及取得的成果。尽我所知,除文中特别加以标注和致谢的地方外,不包含其他人或组织已经发表或公布过的研究成果,也不包含我为获得及其它教育机构的学位或学历而使用过的材料。对本研究提供过帮助和做出过贡献的个人或集体,均已在文中作了明确的说明并表示了谢意。 作者签名:日期: 指导教师签名:日期: 使用授权说明 本人完全了解大学关于收集、保存、使用毕业设计(论文)的规定,即:按照学校要求提交毕业设计(论文)的印刷本和电子版本;学校有权保存毕业设计(论文)的印刷本和电子版,并提供目录检索与阅览服务;学校可以采用影印、缩印、数字化或其它复制手段保存论文;在不以赢利为目的前提下,学校可以公布论文的部分或全部内容。 作者签名:日期:

学位论文原创性声明 本人郑重声明:所呈交的论文是本人在导师的指导下独立进行研究所取得的研究成果。除了文中特别加以标注引用的内容外,本论文不包含任何其他个人或集体已经发表或撰写的成果作品。对本文的研究做出重要贡献的个人和集体,均已在文中以明确方式标明。本人完全意识到本声明的法律后果由本人承担。 作者签名:日期:年月日 学位论文版权使用授权书 本学位论文作者完全了解学校有关保留、使用学位论文的规定,同意学校保留并向国家有关部门或机构送交论文的复印件和电子版,允许论文被查阅和借阅。本人授权大学可以将本学位论文的全部或部分内容编入有关数据库进行检索,可以采用影印、缩印或扫描等复制手段保存和汇编本学位论文。 涉密论文按学校规定处理。 作者签名:日期:年月日 导师签名:日期:年月日

粮仓智能传感器设计

用于粮仓领域的智能温度传感器的设计 摘要: 近年来随着计算机在社会领域的渗透, 单片机的应用正在不断地走向深入, 同时带动传统控制检测日新月益更新。在实时检测和自动控制的单片机应用系统中,单片机往往是作为一个核心部件来使用,仅单片机方面知识是不够的,还应 根据具体硬件结构,以及针对具体应用对象特点的软件结合,以作完善。 系统以AT89C51 单片机为控制核心,利用新型一线制温度传感器DS18B20 测量温度值,实现粮仓环境温度的检测和报警。本文给出了由AT89C51 单片机和 DS18B20 构成的单总线温度测量系统的硬件电路及软件流程图。该系统具有测点多、精度高、速度快、稳定性好、报警及时等特点,也可应用于其它相关的温度控制系统,通用性较强。 关键词:一线总线;DS18B20;AT89C51;数字温度传感器 Abstract:The system for the control of the core is AT89C51,the temperature sensors DS18B20 is used to measure temperature and this system can realize ambient temperature measurement and alarm. This article introduces the hardware circuit which the software flow chart constitutes by AT89C51 monolithic integrated circuit and DS18B20. This system has many measuring point, high-precision, wide range of temperature monitoring, good stability and alarms timely, it may also be applied in other related temperature control system and the versatility is strong. Keywords:1-Wire TM;DS18B20;AT89C51;Digit Temperature Densor

4路抢答器数字电路课程设计

课题名称:数显抢答器的设计

数字电子课程设计任务书

目录 1绪论 1.1 摘要 (4) 1.1 设计题目:抢答器电路设计 (4) 1.2 设计任务和要求 (4) 1.3 方案比较 (4) 2系统总体方案及硬件设计 (5) 2.1 系统总体方案 (5) 2.2 硬件设计 (6) 3软件设计 (12) 3.1 单元电路设计 (12) 3.1.1 抢答电路 (12) 3.1.2 定时电路 (14) 3.1.3 报警电路 (15) 3.1.4 时序控制电路 (15) 4课程设计体会 (17) 5参考文献 (18)

摘要 随着我国经济和文化事业的发展,在很多竞争场合要求有快速公正的竞争裁决,例如证券、股票交易及各种智力竞赛等。在现代社会生活中,智力竞赛更是作为一种生动活泼的教育形式和方法能够引起观众极大的兴趣。而在竞赛中往往分为几组参加,这时针对主持人提出的问题,各组一般要进行必答和抢答,对必答一般有时间限制,到时有声响提示;对于抢答,要判定哪组先按键,为了公正,这就要有一种逻辑电路抢答器作为裁判员。一般抢答器由很多门电路组成,线路复杂,可靠性低,特别是抢答路数增多时,实现起来更加困难。本文介绍了一种利用数字电路实现的抢答系统,具有很强的实用性。 数字抢答器由主体电路与扩展电路组成。优先编码电路、锁存器、译码电路将参赛队的输入信号在显示器上输出;用控制电路和主持人开关启动报警电路,以上两部分组成主体电路。抢答器具有数据锁存和显示的功能。抢答开始后,若有选手按动抢答按钮,编号立即锁存,并数码管上显示选手的编号,同时扬声器给出声音提示;同时封锁输入电路,禁止其它选手抢答。优先抢答选手的编号一直保持到主持人将系统清零为止。抢答器具有定时抢答的功能,且一次抢答的时间为3秒。当主持人启动“开始”键后,要求定时器立即进行减计时,并用显示器显示通过定时电路和译码电路将秒脉冲产生的信号在显示器上输出实现计时功能,构成扩展电路。参赛选手在设定的时间内进行抢答,抢答有效,定时器停止工作,显示器上显示选手的编号和抢答时刻的时间,并保持到主持人将系统清零为止;如果定时抢答的时间已到,而没有选手抢答时,本次抢答无效,并封锁输入电路,禁止选手超时后抢答,定时显示器上显示0并闪烁。经过布线、焊接、调试等工作后数字抢答器成形。

智能抢答器开题报告

抢答器毕业论文(设计)开题报告 论文题目:智能抢答器设计 系:电子信息科学系学号:姓名: 一、论文选题的目的和意义 在这个竞争激烈的社会中,知识竞赛,评选优胜,选拔人才之类的活动愈加频繁。在竞赛中,都是多个选手一起参加,如果采用举手回答问题的这个方式来进行竞赛已经不适应社会的需要。并且在主持人提出的问题时候,如果让选手用举手等方法来进行抢答,这在某种程度上会因为主持人的主观误断造成比赛的不公平性。而在当今社会里,比赛要追求准确、公正、直观地判断出第一抢答者,这时候智能抢答器就派上用场了。 智能抢答器是一种应用十分广泛的设备,在各种竞赛、抢答场合中,它都能客观、迅速地判别出最先获得发言权的选手。早期的抢答器只是由几个三极管、可控硅、发光管等器件组成的,能通过发光管的指示辩认出选手号码。现在大多数智能抢答器都由单片机或PLC控制,并且新增了许多功能,如选手号码显示,抢按前或抢按后的计时,选手得分显示等功能。 随着科技的发展,现在的抢答器向着数字化、智能化的方向发展,这就必然提高了智能抢答器的制造成本。鉴于现在小规模的知识竞赛越来越多,操作简单,经济实用的小型抢答器肯定很有市场。因此,我选择单片机来设计八路智能抢答器。 通过这次毕业设计,让我了解到了八路智能抢答器的结构组成和工作原理,也初步掌握八路智能抢答器的调整及测试方法,提高实践动手能力和思考问题的能力。同时通过本次毕业设计,也让我巩固了以前学习的理论知识,建立逻辑数字电路的理论和实践的结合,了解了八路智能抢答器各单元电路之间的关系及相互影响。初步掌握了八路智能抢答器的调整及测试方法。 本毕业设计通过参考大量文献对八路智能抢答器的工作原理进行了系统的介绍,通过详细的调查和权威技术资料及相关情报的收集,为我们更深刻的了解电子技术提供了很好的平台,使得更好的让理论与实践相结合。 二、国内外关于该论题的研究现状和发展趋势 随着我国抢答器市场的迅猛发展,与之相关的核心生产技术应用与研发必将成为业内企业关注的焦点。技术工艺,是衡量一个企业是否具有先进性,是否具备市场竞争力,是否能不断领先于竞争者的重要指标依据。了解国内外抢答器生产核心技术的研发动向、工艺设备、技术应用及趋势对于企业提升产品技术规格,

半导体传感器应用电路设计

东北石油大学 课程设计 2012年6 月25

任务书 课程传感器课程设计 题目半导体传感器应用电路设计 专业测控技术与仪器姓名学号 主要内容: 利用温度传感器和热电偶设计制作一个温度测量系统。参考利用半导体温度传感器AD590和单片机技术设计制作一个显示室温的数字温度计的设计提示与分析。进一步了解有关温度传感器的工作原理,制定设计方案,确定温度传感器的型号等参数,掌握温度的检测方法。 基本要求: 1、详细了解所选用的温度传感器的工作原理,工作特性等 2、设计合理的信号调理电路,并列出制作该装置的元器件。 主要参考资料: [1]刘爱华,满宝元.传感器原理与应用技术[M].北京:人民邮电出版社,2006.45-48. [2]王雪文,张志勇.传感器原理及应用[M].北京:航空大学出版社,2004.27-34. [3]张福学.现代实用传感器电路[M].北京:中国计量出版社,1997.16-24. [4]缪家鼎,徐文娟,牟同升.光电技术[M].杭州:浙江大学出版社,1987.22-27. 完成期限2012.6.25—2012.6.29 指导教师 专业负责人 2012年6 月25 日

摘要 传感器属于信息技术的前沿尖端产品,尤其是温度传感器被广泛用于工农业生产、科学研究和生活等领域,数量高居各种传感器之首。半导体传感器是利用某些半导体的电阻随温度变化而变化的特性制成的。半导体具有很宽的温度反应特性,各种半导体的温度反应区段不同。利用半导体温度传感器AD590 设计制作一个温度测量系统,AD590是一种集成温度传感器,其实质是一种半导体集成电路。集成温度传感器的线性度好、精度适中、灵敏度高、体积小、使用方便,得到广泛应用。集成温度传感器的输出形式分为电压输出和电流输出两种。 关键词:关键词传感器;半导体;温度传感器;AD590

FPGA四路电子抢答器设计

课程设计报告 专业班级 课程 FPGA/CPLD原理及应用题目四路电子抢答器设计学号 姓名 同组人 成绩 2013年5月

一、设计目的 1.进一步掌握QUARTUSⅡ软件的使用方法; 2.会使用VHDL语言设计小型数字电路系统; 3.掌握应用QUARTUSⅡ软件设计电路的流程; 4.掌握电子抢答器的设计方法。 二、设计要求 1.系统总体设计 (1)设计一个可以容纳四组参赛队进行比赛的电子抢答器。 (2)具有第一抢答信号的鉴别和锁存功能。在主持人发出抢答指令后,若有参赛者按抢答器按钮,则该组指示灯亮,显示器显示出抢答者的组别。同时,电路处于自锁存状态,使其他组的抢答器按钮不起作用。 (3)具有计时功能。在初始状态时,主持人可以设置答题时间的初始值。在主持人对抢答组别进行确认,并给出倒计时记数开始信号以后,抢答者开始回答问题。此时,显示器从初始值开始倒计时,计到0时停止计数,同时扬声器发出超时警报信号。若参赛者在规定的时间内回答完问题,主持人可以给出计时停止信号,以免扬声器鸣叫。 (4)具有计分功能。在初始状态时,主持人可以给每组设置初始分值。每组抢答完毕后,由主持人打分,答对一次加1分,答错一次减1分。 (5)设置一个系统清除开关,该开关由主持人控制。 (6)具有犯规设置电路。超时抢答者,给予鸣喇叭警示,并显示规范组别。 2.设计方案 系统的输入信号有:各组的抢答按钮A、B、C、D,系统允许抢答信号STA,系统清零信号RST,计分时钟信号CLK,加分按钮端ADD、en,减分端SUB、sta,计时使能端en时钟信号clk,复位rst;系统的输出信号有:四个组抢答成功与否的指示灯控制信号输出口可用a1、b1、c1、d1表示,四个组抢答时的计时数码显示控制信号,抢答成功组别显示的控制信号,各组计分显示的控制信号。整个系统至少有三个主要模块:抢答鉴别模块;抢答计时模块;抢答计分模块,其他功能模块(输出显示模块)。 3.如图为流程图: 开始→抢答→抢答鉴别→回答→加减分数→显示↑↑ 倒计时倒计时 犯规抢答或抢答后答题时间超时鸣喇叭警告。

基于PLC 设计的知识竞赛抢答器-毕业论文

本科毕业论文(设计) 题目:知识竞赛抢答器PLC设计 摘要 随着我国经济和文化实业的发展,在很多公开竞争场合要求有公正的竞争裁决,诸如证券、股票交易及各种智力竞赛等,因此出现了抢答器。最初的抢答器是由优先权编码器构成的逻辑电路,其运算速度慢,线路复杂,可靠性不高,功能也比较简单,特别是当抢答路数很多时,实现起来就更加困难。因此,一种能够体现竞赛的公开、公平、公正性的知识竞赛抢答器成为一种需求。 本设计将以PLC为核心设计了系统结构图、程序指令、梯形图以及输入输出端子的分配方案,在保留了原始抢答器的基本功能的同时又增加一系列的实用功能并简化其电路结构,其将以其控制方便,灵活,只要改变输入PLC的控制程序,便可改变竞赛抢答器的抢答方案,从而使得竞赛不断完善其公平、公正性。 关键词:PLC;知识竞赛抢答器;七段数码器;IO分配

bstract With the development of our country economy and cultural industry,public competition requires a fair adjudgement in many occasions,such as securities and ruling stock trading and various intelligence contest etc, resulting in various responder. First vies to answer first is composed of priority encoder which is constiuted by logic circuit, the speed and reliability of it is not high and the circuit is complex, but the function is simple. especially when there are many responders, it is more difficult to achieve. Therefore, a responder to reflect a kind of openness, fairness and impartiality of the knowledge competition is a kind of demand occupations. The core of this design is based on PLC ,it is composed of system structure diagram, procedures, ladder-diagram and the allocation of input and output terminals,the design not only retains the basic function of the the original responder but increases a series of practical function and simplifies the circuit structure, it will be easy and flexible to its control, as long as change the PLC control procedures, you are able to change the program of the competition responder, making the competition fair and justice. Key words:PLC; The knowledge competition responder;7 digital device;IO distribution

四路抢答器的设计

江汉大学物理与信息工程学院 课程设计报告 课题名称:四路抢答器的设计 (英文) : The Design of Four- routes Priority-answer Set 专业:电子信息工程(光电信息工程) 班级: 学号: 学生姓名: 指导教师: 2008年9 月26 日

四路抢答器的设计 一、设计任务及要求 1、设计一个四路抢答器(有线控制)用通用板实现,当四组参赛者之一抢先按下开关时,抢答器能准确的判断出是哪一组抢答成功,指示灯显示抢答组别,扬声器发出声音。 2、抢答器具备鉴别第一个信号和锁存能,在主持人复位开始抢答后,将第一个抢答信号锁存,阻止其他信号输入,复位后开始新一轮抢答。 二、设计框图及整机概述 整机设计框图如图1所示(Windows画图工具),参赛者按钮是四路单刀双掷开关,选择前接低电平;主电路由4个D触发器组成,D脚接开关,Q脚接输出;由TTL与非门形成特定电平控制边沿触发器的CP,使触发器暂时封锁;抢答成功通过发光二极管显示,同时蜂鸣器发出声音提示。设计抢答器的关键是主电路和CP脉冲产生电路的方案确定,将在下面详细说明。 图1 四路抢答器设计框图

三、单元电路的设计方案及原理说明 1、主电路的设计方案 方案一:可以由编码器构成抢答部分,编码器有优先选择的特点,也可以达到抢答的效果,但它有不准确的缺点,如果两人同时抢答的话,那么,那么优先级比较高的选项会抢得机会。而且编码后的结果为二进制编码,需经过一译码器才能显示,电路的可用性不高。 方案二:用边沿触发器实现抢答部分,可以由是否产生脉冲来封锁触发器。当有人按下抢答按键时(即Di=“1”),n i Q 和n i Q 有改变,n i Q 的状态与i D 的 输入直接相关,再与脉冲经过若干与非门后,便可封锁后来的按键。而且它比方案一更准确(能最大可能的区分抢答先后),而且可以直接由n i Q 与外部显示相连,这种方案既方便又准确,而且电路比较简单易于实现。 比较两套方案后,选择方案二。电路原理图如图2所示(protel99 SE )。 图2 主电路原理图 2、脉冲产生电路的设计方案 方案一:由与非门实现脉冲振荡,这种电路的频率范围由RC 的变化确定,这种电路虽然简单,但起振频率范围有限,电路只会在一定的范围内产生振荡。 方案二:由555定时器和外接元件R1、R2、C 构成多谐振荡器,电路只有两

光照强度传感器及其变送电路设计(范文)复习过程

光照强度传感器及其变送电路设计(范文)

重庆工业职业技术学院 毕业设计 课题名称:单片机流水灯设计 专业班级: 09电子301 学生姓名:魏玉玺 指导教师:王雪萍 二零一二年四月

光照强度传感器及其变送电路设计 【摘要】光照强度传感器是现代工业和日常生活中经常出现的一种基于光强变化的 检测器件,它可以检测出其接收到的光强的变化,主要使用各种光电元件来将光信 号转换成电信号,再经信号取样电路、放大电路和模数转换电路处理,获取表示光 照度的数字信号,再交由微处理器或DSP处理。光电检测方法具有精度高,反应快,非接触等优点,而且可测参数多,传感器的结构简单,形式灵活多样,因此,光电式传感器在检测和控制中应用非常广泛。本设计利用传感器设计的基本方法,设计 制作一个可以感知外界光照度变化的传感器,以实现对光照度信号的测量。 【关键词】:光照强度;传感器;变送电路 目录

第一章绪论 (4) 1.1引言 (4) 1.2传感器的概述 (4) 第二章系统设计 (5) 2.1光电传感器及敏感元件 (5) 2.1.1光敏电阻器……………………………………………………………………....... 5 2.1.2光敏二极管.............................................................. . (5) 2.1.3光敏晶体管 (6) 2.2光电传感器概述 (6) 2.3光电传感器工作原理 (6) 2.4光照传感器的设计 (8) 2.4.1设计方案一 (8) 2.4.2设计方案二 (9) 2.5方案比较 (10) 第三章变送电路硬件设计 (10) 3.1变送电路简介................................................................................ (10) 3.2热电阻二线制变送器的设计 (12) 3.2.1信号采集电路 (13) 3.2.2一级放大电路和线性化调整电路 (13) 3.2.3调零、电源平衡及二级放大电路……………………………………… 13 3.2.4调满电路和V/I转换电路…………………………………………………… 14 3.3 热电偶二线制变送器电路设计 (14) 3.3.1信号采集和一级放大电路 (14) 3.3.2 线性化调整电路和二级放大电路 (15)

四路竞赛抢答器设计

四路竞赛抢答器设计与仿真。 一、设计题目:四路竞赛抢答设计与仿真 二、设计要求 设计并仿真能容纳四组参赛队参赛的声光显示抢答器,要求: 1)主持人按下复位后,允许开始抢答, 2)有人抢答成功,即发出光、声报警信号,并封锁其他参赛队抢答信号的输入 主要器件 1)74LS175、74LS04; 2)发光二极管、蜂鸣器、三极管等。

三、题目分析 为达到设计要求可以有两种方案可以选择 方案1:采用CD4511芯片作为抢答信号的触发、锁存和译码输出。这样虽然比较简便,但实际在实现锁存功能时比较繁琐难实现。 方案2:采用集成4D触发器来完成抢答部分。虽然元件较多,但在实现锁存功能时可以简单的实现。 经过对比两方案的优缺点,决定采用抢答信号锁存简单实现的方案2。然后利用软件Multisim来进行仿真调试,再进行逐步改进。智力竞赛是在竞赛中分成几组参加,我设计的为四组,这时针对主持人提出的问题,各组一般进行抢答,对于抢答,需要一种逻辑电路抢答器作为裁判员。先由主持人控制主电路,各组再进行抢答,优先抢答者抢到并回答问题解除抢答信号后,电路才恢复下一次抢答。 以下是我设计的智力竞赛抢答器的主要设计思路:主持人控制开关接地与四组开关接5V 电压,我集成4D触发器74LS175,起到优先抢答的作用。利用当其中一组抢答即对应的开关关闭,对应的锁存输入端为高电平,对应的输出端也为高电平,经过与门,实现锁存功能。这样,当一组抢答时其它组就被屏蔽了。举个例子来说,若一组得到抢答权,则由于接上电压该组输出高电压,又由于锁存器的锁存原因,其它二组,三组,四组输出的为低电平,与一组相连的发光二极管会发光,同时通过或非门与报警电路相连,使之发出连续响声。因为由两个或门与译码器连接,译码器是输入高电平有效,而第一组输出高电平时,对应的LED 亮,说明改组拥有回答问题的权利。当主持人的控制开关复位时,各组的抢答者就开始抢答,当主持人的控制开关清零复位时,开始下一轮抢答。 以上就是我设计的竞赛抢答器的设计思路。

8路电子抢答器设计毕业论文

毕业论文声明 本人郑重声明: 1.此毕业论文是本人在指导教师指导下独立进行研究取得的成果。除了特别加以标注地方外,本文不包含他人或其它机构已经发表或撰写过的研究成果。对本文研究做出重要贡献的个人与集体均已在文中作了明确标明。本人完全意识到本声明的法律结果由本人承担。 2.本人完全了解学校、学院有关保留、使用学位论文的规定,同意学校与学院保留并向国家有关部门或机构送交此论文的复印件和电子版,允许此文被查阅和借阅。本人授权大学学院可以将此文的全部或部分内容编入有关数据库进行检索,可以采用影印、缩印或扫描等复制手段保存和汇编本文。 3.若在大学学院毕业论文审查小组复审中,发现本文有抄袭,一切后果均由本人承担,与毕业论文指导老师无关。 4.本人所呈交的毕业论文,是在指导老师的指导下独立进

行研究所取得的成果。论文中凡引用他人已经发布或未发表的成果、数据、观点等,均已明确注明出处。论文中已经注明引用的内容外,不包含任何其他个人或集体已经发表或撰写过的研究成果。对本文的研究成果做出重要贡献的个人和集体,均已在论文中已明确的方式标明。 学位论文作者(签名): 年 月

关于毕业论文使用授权的声明 本人在指导老师的指导下所完成的论文及相关的资料(包括图纸、实验记录、原始数据、实物照片、图片、录音带、设计手稿等),知识产权归属华北电力大学。本人完全了解大学有关保存,使用毕业论文的规定。同意学校保存或向国家有关部门或机构送交论文的纸质版或电子版,允许论文被查阅或借阅。本人授权大学可以将本毕业论文的全部或部分内容编入有关数据库进行检索,可以采用任何复制手段保存或编汇本毕业论文。如果发表相关成果,一定征得指导教师同意,且第一署名单位为大学。本人毕业后使用毕业论文或与该论文直接相关的学术论文或成果时,第一署名单位仍然为大学。本人完全了解大学关于收集、保存、使用学位论文的规定,同意如下各项内容:按照学校要求提交学位论文的印刷本和电子版本;学校有权保存学位论文的印刷本和电子版,并采用影印、缩印、扫描、数字化或其它手段保存或汇编本学位论文;学校有权提供目录检索以及提供本学位论文全文或者部分的阅览服务;学校有权按有关规定向国家有关部门或者机构送交论文的复印件和电子版,允许论文被查阅和借阅。本人授权大学可以将本学位论文的全部或部分内容编入学校有关数据库和收录到《中国学位论文全文数据库》进行信息服务。在不以赢利为目的的前提下,学校可以适当复制论文的部分或全部内容用于学术活动。 论文作者签名:日期: 指导教师签名:日期: 目录 摘要.......................................... 错误!未定义书签。Abstract...................................... 错误!未定义书签。 1 前言 (1)

四路抢答器完整版.docx

华北科技学院 课程设计说明书 班级:电子 B071姓名:郭亚立设计题目:四路智能抢答器 设计时间:2010.1.9至 2010.1.22学号:200703014138 指导教师:杜志伟 评语: 评阅成绩:评阅老师:

华北科技学院课程设计报告 四路抢答器设计报告 目录 一、设计任务和要求: ...................................................................................................................- 3 - 1.1设计任务 ..................................................................................................................................- 3 - 1.2设计要求 ..................................................................................................................................- 3 - 二、设计方案的选择与论证...........................................................................................................- 4 - 2.1方案的选择、论证 ..................................................................................................................- 4 - 2.2设计总方案 ..............................................................................................................................- 4 - 三、电路设计计算与分析.................................................................................................................- 5 - 3.1抢答器控制电路设计 ..............................................................................................................- 5 - 3.1.1优先编码器 74LS148 .....................................................................................................- 7 - 3.1.2锁存器 74LS279 ............................................................................................................- 9 - 3.1.374LS121 单稳态触发器: (10) 3.2定时时间电路的设计 (10) 3.2.1计数器 74LS192 (12) 3.3控制电路和报警电路 (13) 3.3.1振荡电路 (17)

大型抢答器设计-毕业设计

大型抢答器设计 学生:XXX 指导教师:XXX 内容摘要:数字抢答器由主体电路与扩展电路组成。优先编码电路、锁存器、译码电路将参赛队的输入信号在显示器上输出;用控制电路和主持人开关启动报警电路,以上两部分组成主体电路。通过定时电路和译码电路将秒脉冲产生的信号在显示器上输出实现计时功能,构成扩展电路。经过布线、焊接、调试等工作后数字抢答器成形。单片机体积小价格低,应用方便,稳定可靠。单片机将很多任务交给了软件编程去实现,大大简化了外围硬件电路,使外围电路的实现简单方便。单片机系统的硬件结构给予了抢答系统“身躯”,而单片机的应用程序赋予了其新的“生命”,使其在传统的抢答器面前具有电路简单、成本低、运行可靠等特色。对于抢答器我们大家都知道那是用于选手做抢答题时用的,选手进行抢答,抢到题的选手来回答问题。抢答器不仅考验选手的反应速度同时也要求选手具备足够的知识面和一定的勇气。选手们都站在同一个起跑线上,体现了公平公正的原则。 关键词:抢答电路定时电路报警电路

Design for large responder Abstract: Digital vies to implement by corpus circuit and expansion circuit composed. Priority coding circuit, latches, decoder circuit will be the team's input signal on the display output, With the control circuit and the host switches on the alarming circuit, the above two parts subject circuit. Through the timing circuit and decoder circuit will seconds pulse signal on the display output of timing function, constitute expansion circuit. After wiring, welding, commissioning work digital vies to implement forming. In practical circuit design, need to go through the simulation software testing of circuit and programs compiled, check the periphery circuit design is reasonable, software compiler are proper and that the software and hardware circuit can normal work, can accurate realization design functions. If the test circuit emulation by, no problem can fully realize function words can actually do plate welding work.. SCM small volume low prices, easy application, it is stable and reliable. Microcontroller will many missions gave software programming to realize, greatly simplifying the peripheral hardware circuit, make the periphery the circuitry is simple and convenient. Keywords:scare-answering circuit timing circuit alarm circuit .

相关文档
相关文档 最新文档