文档库 最新最全的文档下载
当前位置:文档库 › 电子技术课程设计报告-交通灯

电子技术课程设计报告-交通灯

电子技术课程设计报告-交通灯
电子技术课程设计报告-交通灯

武汉工程大学

电气信息学院

电子技术课程设计报告

设计名称:交通灯

设计题目:交通灯

学生学号:1404200223

专业班级:电气类02班

学生姓名:孙鑫

学生成绩:

指导教师:戴璐平

课题工作时间:至

目录

摘要...................................................................................................II Abstract................................................................................................ II 第一章课题背景(或绪论、概述).. (1)

1.1 设计背景 (1)

1.2 设计目的 (1)

1.3 设计意义 (2)

1.4 本章小结 (2)

第二章设计简介及设计方案论述 (3)

2.1 设计简介 (3)

2.2 设计方案论述 (4)

2.3 本章小结 (5)

第三章详细设计 (6)

3.1秒脉冲发射器电路 (6)

3.2定时器电路 (7)

3.3控制器电路 (9)

3.4译码显示电路 (9)

3.5本章小结 (11)

第四章设计结果及分析 (12)

4.1 总体设计结果 (12)

4.2 总体设计分析 (14)

4.3 电路检验 (15)

4.4 本章小结 (15)

第五章电路仿真 (16)

总结 (18)

致谢 (20)

参考文献 (21)

附录主要芯片使用 (22)

摘要

交通信号灯的出现,使交通得以有效管制,对于疏导交通流量、提高道路通行能力、减少交通事故有明显效果。通过采用数字电路对交通灯控制电路的设计,提出使交通灯控制电路用数字信号自动控制十字路口四组红、黄、绿交通灯的状态转换的方法,指挥各种车辆和行人安全通行,实现十字路口交通管理的自动化。因此,在本次课程设计里,将以传统的设计方法为基础,同时引入了电子设计自动化技术,将模拟信号转化为数字信号,利用了数字逻辑这一强大工具,同时还运用了Multisim软件来设计了可控制的交通信号灯。

关键词:交通灯,设计,电路仿真

Abstract

The emergence of traffic lights so that traffic can be effective control, for ease traffic flow, improve the road capacity, the effect of significantly reducing traffic accidents. Through the use of digital circuitry on the traffic lights control circuit design, to enable the traffic lights control circuit at a crossroads with two sets of automatic control of digital signal red, yellow and green traffic lights approach the status of the conversion, command a variety of vehicles and pedestrians safe passage to achieve automated traffic management at the crossroads. Therefore, in the course design, the traditional design methods will be based at the same time the introduction of electronic design automation technology, the analog signal into a digital signal, use this powerful tool for digital logic, At the same time also used the Multisim software and some digital logic devices, to design that can be controlled by traffic lights. Keywords:Traffic lights, design, circuit simulation

第一章课题背景

1.1 设计背景

1.1.1交通灯的使用背景

随着中国加入WTO,我们不但要在经济、文化、科技等各方面与国际接轨,在交通控制方面也应与国际接轨。随着社会经济的发展,城市交通问题越来越引起人们的关注。因此,一个好的交通灯控制系统,将给道路拥挤、违章控制等方面给予技术革新。

1.1.2交通灯的发展现状及研究概况

随着电子技术的飞速发展,各类分立电子元件及其所构成的相关功能单元,已逐步

被功能更强大、性能更稳定、使用更方便的集成芯片所取代。由集成芯片和一些外围电

路构成的各种自动控制、自动测量、自动显示电路遍及各种电子产品和设备。数字系统

和数字设备已广泛应用于各个领域,更新换代速度可谓日新月异。

在电子系统非常广泛的应用领域内,到处可见到处理离散信息的数字电路。供消费

用的微波炉和电视、先进的工业控制系统、空间通讯系统、交通控制雷达系统、医院急

救系统等在设计过程中无一不用到数字技术。数字电路制造工业的进步,使得系统设计

人员能在更小的空间内实现更多的功能,从而提高系统可靠性和速度。

交通灯作为现在交通生活必不可少的一项,其设备也在不停地更新换代。如今交通

灯已经不能局限为简单的红黄绿三种灯,直行、左转、右转也都有了自己的标志灯。我

们需要更加复杂的设计来满足这些不断加剧的需求。

1.2 设计目的

本设计在掌握十进制计数器、译码器电路的综合设计的基础下,综合运用了定时器,

触发器,计数器,译码器等知识,并能使测得的结果保持一定的精度,具有一定的实用

价值。通过采用数字电路对交通灯控制电路的设计,提出使交通灯控制电路用数字信号

自动控制十字路口四组红、黄、绿交通灯的状态转换的方法,指挥各种车辆和行人安全

通行,实现十字路口交通管理的自动化。绿灯亮时,准许车辆通行。黄灯亮时,已越过

停止线的车辆可以继续通行。红灯亮时,禁止车辆通行。主干道绿灯时间为45秒,黄灯

时间为5秒,红灯时间为30秒次干道红灯为50秒,绿灯为25秒,黄灯为5秒

本课题采用的是TL082为脉冲发生器,74192为定时器4511译码,7474为控制器

7408译码。

1.3 设计意义

本设计与制作项目可提高学生对于元件的认识,更加了解各个元件功能的使用,并且通过设计控制电路锻炼学生的逻辑思维能力。培养学生根据课题需要选用参考书、查阅手册、图表和文献资料的能力,提高学生独立解决工程实际问题的能力.

1.4 本章小结

本章主要简述了交通灯的发展、研究背景和本课题研究的主要内容。

第二章设计简介及设计方案论述

2.1 设计简介

2.1.1 设计任务

任务:设计并实现一个可以控制十字路口交通的交通灯。要求主干道绿灯时间为45秒,黄灯时间为5秒,红灯时间为30秒。次干道红灯为50秒,绿灯为25秒,黄灯为5秒。

1.主干道为45秒绿灯时,绿灯结束时变为5秒黄灯。此时支干道为50秒红灯。

2.主干道黄灯5秒结束后变为30秒红灯。

3.主干道为红灯时,支干道为25秒绿灯加5秒黄灯。

2.1.2设计的基本原理

1.用TL082设计一个秒脉冲发射器。

2.通过两片74192,两片4511和两个七段数码管设计时间倒数器。

3.通过7474、7408实现对两车道灯的控制。

4.将灯的亮灭和时间置数结合完成整体电路。

2.1.3 目前所有的实现框图与方法原理

方案一用数电电子技术来实现交通灯控制

交通灯控制系统的原理框图如图2-1所示。

它主要由控制器、定时器、译码器和秒脉冲信号发生器等部分组成。秒脉冲发生器是该系统中定时器和控制器的标准时钟信号源,译码器输出两组信号灯的控制信号,经驱动电路后驱动信号灯工作,控制器是系统的主要部分,由它控制定时器和译码器的工作。图中:

TL: 表示甲车道或乙车道绿灯亮的时间间隔,即车辆正常通行的时间间隔。定时时间到,TL=1,否则,TL=0。

TY:表示黄灯亮的时间间隔为5秒。定时时间到,TY=1,否则,TY=0。

ST:表示定时器到了规定的时间后,由控制器发出状态转换信号。由它控制定时器开始下个工作状态的定时。

图2-1

2.2 设计方案论述

(1)甲车道绿灯亮,乙车道红灯亮。表示甲车道上的车辆允许通行,乙车道禁止通行。绿灯亮足规定的时间隔45s时,控制器发出状态信号ST,转到下一工作状态。

(2)乙车道黄灯亮,乙车道红灯亮。表示甲车道上未过停车线的车辆停止通行,已过停车线的车辆继续通行,乙车道禁止通行。黄灯亮足规定时间间隔5s时,控制器发出状态转换信号ST,转到下一工作状态。

(3)甲车道红灯亮,乙车道绿灯亮。表示甲车道禁止通行,乙车道上的车辆允许通行绿灯亮足规定的时间间隔25s时,控制器发出状态转换信号ST,转到下一工作状态。

(4)甲车道红灯亮,乙车道黄灯亮。表示甲车道禁止通行,乙车道上没过停车线的车辆停止通行,已过停车线的车辆停止通行,已过停车线的车辆继续通行。黄灯亮足规定的时间间隔5s时,控制器发出状态转换信号ST,系统又转换到第(1)种工作状态。

交通灯以上4种工作状态的转换是由控制器器进行控制的。设控制器的四种状态编码为00、01、11、10,并分别用S0、S1、S3、S2表示,则控制器的工作状态及功能如表1、2所示,控制器应送出甲、乙车道红、黄、绿灯的控制信号。为简便起见,把灯的代号和灯的驱动信号合二为一

控制状态信号灯状态车道运行状态

S0(00)甲绿、乙红甲车道通行,乙车道禁止通行

S1(01)甲黄、乙红甲车道缓行,乙车道禁止通行

S3(11)甲红、乙绿甲车道禁止通行,乙车道通行

S2(10)甲红,乙黄甲车道禁止通行,乙车道缓行

AG=1 甲车道绿灯亮甲车道通行

BG=1 乙车道绿灯亮乙车道通行

AY=1 甲车道黄灯亮甲车道缓行

BY=1 乙车道黄灯亮乙车道缓行

AR=1 甲车道红灯亮甲车道禁止通行

BY=1 乙车道红灯亮乙车道禁止通行

2.3 本章小结

本章主要介绍了的工作原理,已经现有的多种方案。以及最后确定的本次设计的方案过程与理由。

第三章详细设计

3.1秒脉冲发射器电路

该电路主要功能是通过运算放大器TL082组成迟滞比较器,再与-5V 电源组成加法器实现。

TL082的实际引脚图和仿真软件中引脚图分别如图3-1-1和3-1-2所示:

U 1A

T L 082C

D

3

2

4

8

1

图3-1-1 TL082实际引脚图 图3-1-2 仿真软件中的引脚图

定时器构成多谐振荡器的基础电路图如图3-1-3所示。

图3-1-3 多谐振荡器的基础电路

秒脉冲发射器运行时的波形图如图3-1-4所示

图3-1-4 秒脉冲发射器运行时的波形图

3.2定时器电路

定时器选用集成电路74LS192进行设计。74LS192是10进制同步加法计数器,功能表如图3-2-1

图3-2-1 74LS192功能表

74LS192的实际引脚图和仿真软件中引脚图分别如图3-2-2和3-2-3所示:

U1

74LS192N

A 15

B 1

C 10

D 9UP 5QA 3QB 2QC 6QD 7DOWN

4

~LOAD 11~BO 13~CO

12

CLR 14

图3-2-2 74LS192的实际引脚图 图3-2-3 74LS192的仿真引脚图

74LS192组成的定时器如图3-2-4所示

U1

74LS192N A 15B 1C 10D 9UP 5QA 3QB 2QC 6QD 7DOWN

4

~LOAD 11~BO 13~CO

12

CLR 14U2

74LS192N

A 15

B 1

C 10

D 9UP 5QA 3QB 2QC 6QD 7DOWN

4

~LOAD 11~BO 13~CO

12

CLR 14GND

GND

VCC

5V

C11μF

图3-2-4 定时器电路图

此图中上方192为十位,下方192为个位。个位192的DOWN 引脚接秒脉冲。十位的预置数分别为0100、0000、0010、0000,所以B 、C 端应接在控制电路的一端,详细解释见控制电路。

3.3控制器电路

控制器电路为整个电路的核心部分,能够按照交通管理规则控制信号灯工作状态的转换,由一片74LS74完成电路。

电路图如图3-3-1,1Q 和2Q 用于连接灯的译码电路。

U1

74LS74N

1D 21Q 5~1Q 6~1CLR 1

1CLK 3~1PR 4GND

7

~2Q

8

2Q 9~2PR 102CLK 112D 12~2CLR

13VCC 14GND

U10A 74AS04M

图3-3-1 74LS74连接的控制电路

3.4译码显示电路

由两部分组成:

1、由192组成的倒计时器的输出作为译码器4511的输入,来控制2个七段数码显示管。

2、由两个7474的输出组合后经7408,控制6个LED 。

控制器状态编码与信号灯关系如下表。

Q1Q0AG绿灯AY黄灯AR红灯BG绿灯BY黄灯BR红灯

0 0 1 0 0 0 0 1

0 1 0 1 0 0 0 1

1 0 0 0 1 1 0 0

1 1 0 0 1 0 1 0

3.4.1七段数码显示管

此部分译码显示电路由两片4511和两个七段数码显示管组成。4511的输入端接74LS192的输出端,通过4511译码将192上的数字在七段数码显示管上显示。显示数字即交通灯需要显示的时间。电路图如图3-4-1

图3-4-1

3.4.2 LED译码显示电路

由两个7474的输出组合后经7408,控制6个LED。电路如图3-4-2。图中2CLK连接十位192上的BO端。当192上的个位十位均归零时,则为7474提供一个脉冲,交通灯LED的显示变换一次,从而实现交通灯灯的亮灭和时间相对应。

图3-4-2

3.5本章小结

本章主要介绍了电路的整体思路和各个单元电路的连接和组成。分别介绍了秒脉冲发射器、定时器电路、控制器电路、译码显示电路的组成芯片和设计思路。

第四章设计结果及分析

4.1总体设计结果

整个系统主要由秒脉冲信号发生器、定时器、控制器、译码显示器构成。其中,秒脉冲信号发生器由TL082电路组成;定时器由两片同步十进制减法计数器74LS192芯片及若干非门、与门共同组成;控制器由一片双D触发器74LS74芯片构成;译码显示器由两片74LS192、两片4511和两个七段数码管构成。主控制器和定时计数器必须使用同一脉冲信号,译码电路输出两组信号灯的控制信号,经驱动电路后驱动信号灯工作。控制电路是系统的核心部分,由它控制

定时计数电路和译码驱动电路的工作能够实现交通灯四种状态的自动转换。

电路可以实现四种不同状态之间的转换,总电路图如图4-1-1

图4-1-1 总设计图

实际电路连接后的成品图如图4-1-2

图4-1-2 实际电路

4.2总体设计分析

本次交通灯设计主要由脉冲输出部分,定时器部分,控制部分(由7474为核心),译码显示部分四部分组成,在输出部分我们运用两个集成放大器构成了一个稳定的输出频率为1HZ脉冲电路(实质是迟滞比较器)。在控制部分考虑到交通灯是在四个状态中循环需要输出四个信号(00,01,10,11)。考虑到低位在0,1之间反复所以把低位的Q 非端接到低位的D端,高位在低位由1变0时才变化把低位输出端经反相器接入高位脉冲端CLK,同时高位Q非端接高位D端,再用非门和与门引导高低位输出端Q来控制交通灯的暗灭。

在置数部分通过两片192芯片来控制高低位显示,低位在每轮置数中均为5,故BD 接地AC接高电位,同时输出端接对应4511输入,由于是减计数UP端接高电平,低位down接脉冲输入,高位down端接低位借位端BO,高位计数在2,4,0之间变化。由于192是非同步置数,又因为次干道黄灯亮后亮主干道绿灯,故高位输入C接在次干道黄灯正输入上。同理,高位输入B接主干道黄灯正输入上,高位剩余输入AD接地。因为高位倒数完后灯信号改变并同时开始下一轮计时,故需要把高位借位输出端BO同时接在两片192的置数端LD和7474的低位CLK端作为改变信号,同时在192高位置数端接一个电阻接地。在通过4511和七段数码管显示时间完成显示。

4.3电路检验

在完成面包板上所有的电路图以后,我们需要对电路进行检验和修改。

首先对秒脉冲发射器电路进行检验,将秒脉冲发射器的输出端接在示波器上,接通电源后观察是否能够产生高电平为5V,低电平为0V的方波。然后对秒脉冲发射器电路以外的电路进行整体检验。将低位192的DOWN端接模拟电路实验箱的脉冲电源,接通电源以后观察面包板上的时间显示和灯的亮灭能否达到实验效果。如果实验现象不能满足预期的实验现象,首先要检验各部分的电路是否连接正确,如果电路连接无误,再检验是否是实验用的芯片或者器材存在问题。例如,192芯片是否不能正常工作,七段数码显示管是否被烧坏,二极管是否正负极接反。所有部分检验无误后,再把总体电路连接在一起,接通电源观察实验现象。如果还是无法正常显示,重复上述工作直至实验成功。

4.4本章小结

本章主要介绍了总体设计结果和总体设计分析,讲述了我们对于信号灯设计的成果。同时我们也对电路的检验进行了总结分析,最终电路能够成功运行。

第五章 电路仿真

5.1主干道绿灯45秒,支干道红灯

U6

74LS74N

1D 21Q 5~1Q 6~1CLR 11CLK 3~1PR 4GND

7

~2Q

8

2Q 9~2PR 102CLK 112D 12~2CLR

13VCC 14VCC

5V

VCC

5V

GND GND

GND

GND

GND

U7B

74AS04M

U8D

74AS04M

U9D

74AS04M

U10

74ALS08M

U11

74ALS08M

U1274ALS08M

U1374ALS08M

U14

74LS192N A 15B 1C 10D 9UP 5QA 3QB 2QC 6QD 7DOWN

4

~LOAD 11~BO 13~CO

12

CLR 14U15

74LS192N

A 15

B 1

C 10

D 9UP 5QA 3QB 2QC 6QD 7DOWN

4

~LOAD 11~BO 13~CO

12

CLR 14U16

4511BP_5V

A1A2~LT ~BI ~LE A3A0VSS

YE YD YC YB YA YG

YF VDD U17

4511BP_5V

A1A2~LT

~BI ~LE A3A0VSS

YE YD YC YB YA YG

YF VDD U18

A B C D E F G

CK

U19

A B C D E F G

CK

VSS

-5V

U20A

TL082CD

3

2

4

8

1

R425kΩ

C21μF

R5

1kΩ

R620kΩ

VDD

5V

VDD

5V

VEE

-5V VEE -5V

VEE -5V

D2

1N4473

D3

1N4473

U21A

TL082CD

3

2

4

8

1

R7

20kΩ

R820kΩ

R910kΩ

C31μF

AG

BG

AR

BR

AY

BY

5.2主干道黄灯5秒,支干道红灯

U6

74LS74N

1D 21Q 5~1Q 6~1CLR 11CLK 3~1PR 4GND

7

~2Q

8

2Q 9~2PR 102CLK 112D 12~2CLR

13VCC 14VCC

5V

VCC

5V

GND GND

GND

GND

GND

U7B

74AS04M

U8D

74AS04M

U9D

74AS04M

U10

74ALS08M

U11

74ALS08M

U1274ALS08M

U1374ALS08M

U14

74LS192N A 15B 1C 10D 9UP 5QA 3QB 2QC 6QD 7DOWN

4

~LOAD 11~BO 13~CO

12

CLR 14U15

74LS192N

A 15

B 1

C 10

D 9UP 5QA 3QB 2QC 6QD 7DOWN

4

~LOAD 11~BO 13~CO

12

CLR 14U16

4511BP_5V

A1A2~LT ~BI ~LE A3A0VSS

YE YD YC YB YA YG

YF VDD U17

4511BP_5V

A1A2~LT

~BI ~LE A3A0VSS

YE YD YC YB YA YG

YF VDD U18

A B C D E F G

CK

U19

A B C D E F G

CK

VSS

-5V

U20A

TL082CD

3

2

4

8

1

R425kΩ

C21μF

R5

1kΩ

R620kΩ

VDD

5V

VDD

5V

VEE

-5V VEE -5V

VEE -5V

D2

1N4473

D3

1N4473

U21A

TL082CD

3

2

4

8

1

R7

20kΩ

R820kΩ

R910kΩ

C31μF

AG

BG

AR

BR

AY

BY

5.3主干道红灯,支干道绿灯25秒

U6

74LS74N

1D 21Q 5~1Q 6~1CLR 11CLK 3~1PR 4GND

7

~2Q

8

2Q 9~2PR 102CLK 112D 12~2CLR

13VCC 14VCC

5V

VCC

5V

GND GND

GND

GND

GND

U7B

74AS04M

U8D

74AS04M

U9D

74AS04M

U10

74ALS08M

U11

74ALS08M

U1274ALS08M

U1374ALS08M

U14

74LS192N A 15B 1C 10D 9UP 5QA 3QB 2QC 6QD 7DOWN

4

~LOAD 11~BO 13~CO

12

CLR 14U15

74LS192N

A 15

B 1

C 10

D 9UP 5QA 3QB 2QC 6QD 7DOWN

4

~LOAD 11~BO 13~CO

12

CLR 14U16

4511BP_5V

A1A2~LT ~BI ~LE A3A0VSS

YE YD YC YB YA YG

YF VDD U17

4511BP_5V

A1A2~LT

~BI ~LE A3A0VSS

YE YD YC YB YA YG

YF VDD U18

A B C D E F G

CK

U19

A B C D E F G

CK

VSS

-5V

U20A

TL082CD

3

2

4

8

1

R425kΩ

C20.75μF

R5

1kΩ

R620kΩ

VDD

5V

VDD

5V

VEE

-5V VEE -5V

VEE -5V

D2

1N4473

D3

1N4473

U21A

TL082CD

3

2

4

8

1

R7

20kΩ

R820kΩ

R910kΩ

C31μF

AG

BG

AR

BR

AY

BY

5.4主干道红灯,支干道黄灯5秒

微机原理课程设计报告交通灯

WORD格式微机原理课程设计 设计题目交通灯的设计 实验课程名称微机原理 姓名王培培 学号080309069 专业09自动化班级2 指导教师张朝龙 开课学期2011至2012学年上学期

一、实验设计方案 实验名称:交通灯的设计实验时间:2011/12/23 小组合作:是□否?小组成员:无 1、实验目的: 分析实际的十字路口交通灯的亮灭过程,用实验箱上的8255实现交通灯的控制。(红,黄,绿三色灯) 2、实验设备及材料: 微机原理和接口技术实验室的实验箱和电脑设备等。 3、理论依据: 此设计是通过并行接口芯片8255A和8086计算机的硬件连接,以及通过8253延时的方法,来实现十字路口交通灯的模拟控制。 如硬件连接图所示(在后),红灯(RLED),黄灯(YLEDD)和绿灯(GLED)分别接在8255 的A,B,C口的低四位端口,PA0,PA1,PA2,PA3分别接1,2,3,4(南东北西)路口的红灯,B,C口类推。8086工作在最小模式,低八位端口AD0~AD7接到8255和8253的D0~D7,AD8~AD15通过地址锁存器8282,接到三八译码器,译码后分别连到8255和8253的CS片选端。8253的 三个门控端接+5V,CLOCK0接由分频器产生的1MHZ的时钟脉冲,OUT0接到CLOCK1和CLOCK,2 OUT1接到8086的AD18,8086通过检测此端口是否有高电平来判断是否30s定时到。OUT2产生 1MHZ方波通过或门和8255的B口共同控制黄灯的闪烁。8255三个口全部工作在方式0既基本 输入输出方式,红绿灯的转换由软件编程实现。

4、实验方法步骤及注意事项: ○1设计思路 红,黄,绿灯可分别接在8255的A口,B口和C口上,灯的亮灭可直接由8086输出0,1 控制。 设8253各口地址分别为:设8253基地址即通道0地址为04A0H,通道1为04A2H,通道2 为04A4H,命令控制口为04A6H。 黄灯闪烁的频率为1HZ,所以想到由8253产生一个1HZ的方波,8255控制或门打开的时 间,在或门打开的时间内,8253将方波信号输入或门使黄灯闪烁。 由于计数值最大为65535,1MHZ/65536的值远大于2HZ,所以采用两个计数器级联的方 式,8253通道0的clock0输入由分频器产生的1MHZ时钟脉冲,工作在方式3即方波发生器方 式,理论设计输出周期为0.01s的方波。1MHZ的时钟脉冲其重复周期为T=1/1MHZ=1s,因此 通道0的计数初值为10000=2710H。由此方波分别作为clock1和clock2的输入时钟脉冲,所以 通道1和通道2的输入时钟频率为100HZ,通道1作计数器工作在方式1,计数初值3000=BB8H 既30s,计数到则输出一个高电平到8255的PA7口,8255将A口数据输入到8086,8086检测 到高电平既完成30s定时。通道2工作在方式3需输出一个1HZ的方波,通过一个或门和8086 共同控制黄灯的闪烁,因此也是工作在方波发生器方式,其计数初值为100=64H,将黄灯的状态 反馈到8055的端口PB7和PC7,同样输入到8086,8086通过两次检测端口状态可知黄灯的状态 变化,计9次状态变化可完成5次闪烁。 三个通道的门控信号都未用,均接+5V即可。 ○ 2硬件原理及电路图 由于8255A与8086CPU是以低八位数据线相连接的,所以应该是8255A的A1、A 0 线分别与 8086CPU的A2、A线相连,而将8086的 1 A 0 线作为选通信号。如果是按8255A内部地址来看, 则在图中它的地址是PA口地址即(CS+000H),PB口地址为(CS+001H),PC口地址为(CS+002H),

微机原理课程设计——交通灯控制系统

南通大学电子信息学院 微机原理课程设计 报告书 课题名交通灯控制系统 班级 _______ 学号 __________ 姓名 ____ 指导教师 ______ 日期 _________

目录 1 设计目的 (1) 2 设计内容 (1) 3 设计要求 (1) 4 设计原理与硬件电路 (2) 5 程序流程图 (4) 6 程序代码 (4) 7 程序及硬件系统调试情况 (8) 8 设计总结与体会 (9) 9 参考文献 (9)

1 设计目的 电子课程设计是电子技术学习中非常重要的一个环节,是将理论知识和实践能力相统一的一个环节,是真正锻炼学生能力的一个环节。交通灯能保证行人过马路的安全,控制交通状况等优点受到人们的欢迎,在很多场合得到了广泛的应用。 交通灯是采用计算机通过编写汇编语言程序控制的。红灯停,绿灯行的交通规则。广泛用于十字路口,车站, 码头等公共场所,成为人们出行生活中不可少的必需品,由于计算机技术的成熟与广泛应用,使得交通灯的功能多样化,远远超过老式交通灯, 交通灯的数字化给人们生产生活带来了极大的方便,而且大大地扩展了交通灯的功能。诸如闪烁警示、鸣笛警示,时间程序自动控制、倒计时显示,所有这些,都是以计算机为基础的。还可以根据主、次干道的交通状况的不同任意设置各自的不同的通行时间。或者给红绿色盲声音警示的人性化设计。现在的交通灯系统很多都增加了智能控制环节,比如对闯红灯的车辆进行拍照。当某方向红灯亮时,此时相应的传感器开始工作,当有车辆通过时,照相机就把车辆拍下。 要将交通灯系统产品化,应该根据客户不同的需求进行不同的设计,应该在程序中增加一些可以人为改变的参数,以便客户根据不同的需要随时调节交通灯。因此,研究交通灯及扩大其应用,有着非常现实的意义。 2 设计内容 交通灯控制系统 利用8253定时器、8255等接口,设计一电路,模拟十字路口交通灯控制。要求能实现自动控制和手动应急控制。 3 设计要求 在Proteus环境下,结合课程设计题目,设计硬件原理图,搭建硬件电路 软件设计

交通灯控制器课程设计报告

中南林业科技大学 课程设计报告 设计名称:交通灯控制器 姓名: 学号: 专业班级: 院(系): 一、课程设计题目:交通灯控制器 时间:2015年6月29日至7月13日 地点: 指导老师: 二、课程设计目的

交通灯控制信号的应用非常广泛。本电路设计一个交通灯控制器,需要达到的目的如下: 一个周期64秒,平均分配,前32秒红灯亮,后32秒绿灯亮。 在红灯亮的期间的后8秒与红灯在一起的黄灯闪烁(注意:红灯同时亮)。为了显示效果明显,设计闪烁频率为1。 在绿灯亮的期间的后8秒与绿灯在一起的黄灯闪烁(注意:绿灯同时亮),为了显示效果明显,设计闪烁频率为1。 在黄灯闪烁期间,数码管同时倒计时显示,在此期间以外,数码管不亮. 三、 课程设计方案 为了完成交通灯控制电路的设计,方案考虑如下: 一个脉冲信号发生器,一个二进制加法计数器,一个十进制减法计数器,红灯与绿灯以及黄灯是否亮是由二进制加法计数器的输出端状态来决定的,因此,设计一个组合逻辑电路,它的输入信号就是二进制加法计数器的输出信号,它的输出就是发光二极管的控制信号,因此,需要一个组合逻辑电路,六个发光二极管(二个红色发光二极管,二个绿色发光二极管,二个黄色发光二极管)电路,一个数码管显示电路。结构图如下: 四、 课程设计原理 脉冲信号发生器由定时器555构成。 二进制加法计数器由七位二进制加法计数器4024构成。 555脉冲 振荡器 4024 计数器 组合逻辑电路 发光二极管电路 193 计数器 4511 驱动器 数码管

十进制减法计数器由74LS193可逆可预置十进制计数器构成。 组合逻辑电路根据其输入输出的逻辑关系后再确定电路芯片。 驱动器选用4511。 从以上讨论可知,需要对所采用的芯片有比较详细的了解。下 面对以上几种芯片的基本知识和基本特性进行介绍。 1、555定时器 555定时器是一块常用的集成电路,电路符号如左图所示,8为电源端VCC,1为公共端GND。所加电源电压范围:4.5V

交通灯设计报告

设计要求 (1)在十字路口的两个方向上各设一组红灯、绿灯、黄灯,显示顺序为:其中一个方向是绿灯、黄灯、红灯,另一个方向是红灯、黄灯、绿灯。 (2)设置一组数码管,以倒计时的方式显示允许通行或禁止通行的时间,其中绿灯、黄灯、红灯的持续时间分别为20s、5s、25s。 (3)当各条路中任意一条上出现特殊情况,例如有消防车、救护车或其他需要优先放行的车辆时,各方向上均是红灯亮,倒计时停止,且显示数字在闪烁。当特殊运行状态结束后,控制器恢复原来状态,继续正常运行。 设计原理及框图 交通灯控制系统的原理框图如图1所示,它主要由秒脉冲发生器,时间显示器,倒计时计数器,计数控制器,交通灯控制器,交通显示灯,紧急开关构成。秒脉冲发生器是该系统中定时器的标准时钟信号源,同时控制着正常工作时黄灯与特殊情况下数码管数字的闪烁,倒计时计数器控制器控制倒计时计数器,倒计时计数器输出的数字经过时间显示器显示在数码管上。交通控制器控制交通显示灯的亮灭,交通控制灯的输入信号由紧急开关和倒计时计数器共同提供。 图一:交通灯控制系统的原理框图

状态1 甲车道黄灯亮 乙车道红灯亮 OO O 两方向车道的交通灯的运行状态共有 4种,如图2所 示,它们转换到数子状 态如下图。 TF:表示甲车道或乙车道红灯亮的时间间隔为 25秒,当TF=0时,甲车道为 红灯,25秒倒计时;当TF=1时,乙车道为红灯,25秒倒计时。 TS:表示倒计时到5秒和20秒。TY=0倒计时20秒,否则,TY=1倒计时5秒 一般十字路口的交通灯控制系统的工作过程如下: (1)甲车道绿灯亮,乙车道红灯亮。表示甲车道上的车辆允许通行,乙车 道禁止 通行。此时TF=O,TS=0绿灯亮足规定的时间隔20s ,倒计时计数器发出状态转 换信号使TS=1,使计数控制器使TS=1转到下一工作状态。 (2) 甲车道黄灯亮,乙车道红灯亮。表示甲车道上未过停车线的车辆停止 通 行,已过停车线的车辆继续通行,乙车道禁止通行。黄灯亮足规定时间间隔 5s 时,倒计时计数器发出状态转换信号使 TF=1, TS=O,使控制器控制译码器 转到下一工作状态。 (3) 甲车道红灯亮,乙车道绿灯亮。表示甲车道禁止通行,乙车道上的车 辆允许通行,绿灯亮足规定的时间间隔 20s 时,倒计时计数器发出状态转换信 号使TS=1,使控制器控制译码器转到下一工作状态。 (4)甲车道红灯亮,乙车道黄灯亮。表示甲车道禁止通行,乙车道上位过县停 车线的车辆停止通行,已过停车线的车辆停止通行,已过停车线的车辆继续通 行。黄灯亮足规定的时间间隔5s 时,倒计时计数器发出状态转换信号使 TF=0,TS=0,使计数控制器转到下一工作状态,即系统又转换到第(1)种工作 状态。 因为在上述转换过程中灯的转换只在计数器为零的时候发生且不存在竞争 冒险的问题,所以可设计为当计数器为 00时即发生信号灯的转换,当信号灯 甲车道绿灯亮 乙车道红灯亮 000 以态2 甲车道红灯亮 乙车道碌灯亮 2- 图 ? 00 r 状态3 甲车直红*1亮 乙车道就侯 TS=O TS=1 TF=0 T 状态0 状态1 状态2 状态3

简易交通灯控制电路的设计课程设计

长安大学 电子技术课程设计 题目简易交通信号灯控制器 班级 姓名黄红涛指导教师温 凯歌 日期 前言 在现代城市中,人口和汽车日益增长,市区交通也日益拥挤,人们的安全问题也日益重要。因此,红绿交通信号灯成为交管部门管理交通的重要工具之一。有了交通灯之后人们的安全出行有了很大的保障。 自从交通灯诞生以来,其内部的电路控制系统就不断的被改进,设计方法也开始多种多样,从而使交通灯显得更加智能化。尤其是近几年来,随着电子与计算机技术的飞速发展,电子电路分析和设计方法有了很大的改进,电子设计自动化也已经成为现代电子系统中不可缺少的工具和手段,这些为交通灯控制电路的设计提供了一定的技术基础。 因此,在本次课题为简易交通灯的课程设计中,通过采用数字电路对交通灯控制电路的设计,提出使交通灯控制电路用数字信号自动控制十字路口两组红、黄、绿交通灯的状态转换的方法,指挥各种车辆和行人安全通行,实现十字路口交通管理的自动化。

本设计分为两个部分:第一部分是由定时器、时钟脉冲驱动和控制器组成的秒脉冲信号发生装置;第二部分是有译码器、发光二极管和数码管组成的交通信号灯以及时间显示装置。各部分采用分模块设计,正文中详细介绍了各模块的功能和原理。 为了完成本次设计,参阅了大量的资料,包括所用到的芯片的详细中英文资料。搜集和查阅资料是一个漫长但是非常重要的过程,获取各模块电路原理,然后经过讨论比较,结合课题要求,确定出一套最合适的方案。小组人员花费几天时间,通过图书馆和上网查阅资料,分别查阅到相应资料。经过商讨,结合现有资料,制定基本框架,并基本定出电路图。在MULTISIM软件里进行电路仿真,来验证电路的正确性。通过仿真来验证实验原理和电路的正确性。在整个过程中,充分发挥主观能动性,将平时所学的理论知识和实际相结合,往往理论可行的东西,实际并不一定能出现结果,这就是我们需要解决的问题,通过问老师或者查资料来分析解决问题。最后确定仿真没有错误后,汇总电路图。 本设计分为两大部分,交通信号灯以及译码显示电路(时间显示)部分由黄红涛同学和韩白雨同学负责主导设计;秒脉冲信号发生以及控制部分由任永刚同学负责,最后进过整合后得到完整系统。 由于缺少实践经验,并且知识有限,所以本次课程设计中难免存在缺点和错误,敬请老师批评指正。 黄红涛 2010年12月29日 目录 前言 (2)

单片机交通灯课程设计报告(含电路图,源程序)

1.引言................................................................. 错误!未定义书签。 2.总体设计方案 (2) 2.1. 设计思路 (2) 2.1.1.设计目的 (2) 2.1.2.设计任务和内容 (3) 2.1.3.方案比较、设计与论证 (3) 2.1.4.芯片简介 (6) 2.2. 设计方框图 (12) 3.设计原理分析 (13) 3.1. 交通灯显示时序的理论分析与计算 (13) 3.2. 交通灯显示时间的理论分析与计算 (15) 3.3. 电路模块 (16) 3.3.1.LED数码管显示模块 (16) 3.3.2.LED红绿灯显示模块 (19) 3.3.3.复位电路 (22) 3.3.4.晶振电路 (23) 4.结束语 (23)

6.附录 (24) 6.1. 附录1:程序清单 (24) 6.2. 附录2:电路设计总图 (32) 6.3附录3:实物图 ....................................... 错误!未定义书签。1.总体设计方案 1.1.设计思路 1.1.1.设计目的 (1)加强对单片机和汇编语言的认识,充分掌握和理解设计各部分的工作原理、设计过程、选择芯片器件、模块化编程等多项知 识。 (2)用单片机模拟实现具体应用,使个人设计能够真正使用。(3)把理论知识与实践相结合,充分发挥个人能力,并在实践中锻炼。 (4)提高利用已学知识分析和解决问题的能力。 (5)提高实践动手能力。

1.1. 2.设计任务和内容 1.1. 2.1.设计任务 单片机采用用AT89S52芯片,使用发光二极管(红,黄,绿)代表各个路口的交通灯,用8段数码管对转换时间进行倒时(东西路口15秒,南北路口25秒,黄灯时间5秒)。 1.1. 2.2.设计内容 (1)设计并绘制硬件电路图 (2)制作PCB并焊接好元器件 (3)编写程序并将调试好的程序固化到单片机中 1.1.3.方案比较、设计与论证 1.1.3.1.电源提供方案 为使模块稳定工作,须有可靠电源,采用单片机控制模块提供电源。此方案的优点是系统简明扼要,节约成本;缺点是输出功率不高。综上所述,我们选择第二种方案。 1.1.3. 2.复位方案 复位方式有两种:按键复位与软件复位。由考虑到程序的简洁,避免冗长,本设计采用按键复位,在芯片的复位端口外接复位电路,通过按键对单片机输入一个高电平脉冲,达到复位的目的。

数电课程设计交通灯

数字电路课程设计报告书 系部名称:电子工程学院 学生姓名: 专业名称:微电子 班级: 实习时间: 题目:交通灯控制器

一实验目的 1.综合应用数字电路知识设计一个交通灯控制器。了解各种元器件的原理及其应用,锻炼自己的动手能力和实际解决问题的能力。 2.深入了解交通灯的工作原理。 二实验要求 1)在十字路口的两个方向上各设一组红黄绿灯,显示顺序为其中一个方向是绿灯,黄灯,红灯,另一方面是红灯,绿灯,黄灯。 2)设置一组数码管,以计时的方式显示允许通行或禁止通行时间,其中一个方向上绿灯亮的时间为20秒,另一个方向上绿灯亮的时间是30秒,黄灯亮的时间都是5秒。 3)当任何一个方向出现特殊情况,按下手动开关,其中一个方向常通行,倒计时停止,当特殊情况结束后,按下自动控制开关,恢复正常状态。 三使用元件 器件型号数量器件型号数量 161 2 LED 6 08 2 电阻4.7K 1 04 3 电阻150K 1 00 1 电阻100欧姆 1 48 2 电容4.7uF 1 74 1 电容103 1 555 1 数码管 2 面包板 1 斜口钳 1 四总体方案的设计 1.分析系统的逻辑功能,画出其框图 交通灯控制系统的原理框图如图所示。它主要由控制器、定时器和秒

脉冲信号发生器等部分组成。秒脉冲发生器是该系统中定时器和控制器的标准时钟信号源,控制器是系统的主要部分,由它控制定时器,数码管和二极管的工作。 2.分析系统的状态变化,列出状态转换表: (1)主干道绿灯亮,支干道红灯亮。表示主干道上的车辆允许通行, 支干道禁止通行。 (2)主干道黄灯亮,支干道红灯亮。表示主干道上未过停车线的车辆停止通行,已过停车线的车辆继续通行,支干道禁止通行。 (3)主干道红灯亮,支干道绿灯亮。表示主干道禁止通行,支干道上的车辆允许通行。 主控部分 秒脉冲发生 器 交通灯 倒计时控制部分 数码管显示 反馈 控制

一个十字路口的交通灯控制系统设计报告

一个十字路口的交通灯控制系统 一、设计内容和要求: 利用AT89C51单片机设计一十字路口交通灯控制系统。 1:系统主要由单片机、数码管、交通灯组成。 2:具有人行道和基本的交通灯的功能。 3:具有数码管倒计时功能。 4:要求东西和南北车道的车辆交替运行,每次通行为25秒。 5:要求黄灯先亮3秒,才能变换车道,黄灯亮时要求闪亮。 6:有紧急情况的处理办法(如急救车来时)。 二、总体方案设计 本设计研究的是基于AT89C51单片机的交通灯智能控制系统。根据交通控制系统的设计原理,阐述了硬件和软件方面开发的整个过程。主控系统采用AT89C51单片机作为控制器,控制通行倒计时及直行、行人的通行。并设计了有紧急情况(如急救车到来)时的处理办法。 三、系统的硬件设计 (1)本系统选用通过P0到P3口用做输出显示控制口。P0口用作输出南北方向LED数码管字符编码,P2口用作输出东西方向LED数 码管字符编码。P1.1—P1.4口用于位选及输出南北方向发光二极 管。P1.5和P1.6用作南北方向人行道的控制灯。P1.7和P3.7用作

东西方向人行道的控制灯。P3.0—P3.6口用于位选及输出东西方向 发光二极管。P3.2和P3.3用作外部中断。 (2)LED数码管采用动态显示方式实现倒计时读秒,并且本系统采用的是LED的共阳极接法。 (3)LED动态显示,在多位LED显示时,为了简化电路,降低成本,将段选位并联在一起,由一个八位的I/O口控制,而位选由另一个 口控制,段选码、位选码每次送入后演示1ms,而人的视觉暂留 时间为0.1s,所以在人看来数码管一直亮着,从而在应用中通过动 态扫描的方法显示。 四、设计原理分析 (1)从十字路口交通灯示意图分析可知:东西、南北方向信号灯控制是中心对称的,即无论是主干道还是支干道两侧系统对同方向的信 号灯控制是同步的。 (2)从示意图分析可知,人行道各个方向,系统对两侧的信号灯的控

单片机课程设计报告 - 十字路口交通灯控制

宁夏大学新华学院课程考核

绪论 主要内容: 随着各种交通工具的发展和交通指挥的需要,第一盏名副其实的三色灯(红、黄、绿三种标志)于1918年诞生。它是三色圆形四面投影器,被安装在纽约市五号街的一座高塔上,由于它的诞生,使城市交通大为改善。黄色信号灯的发明者是我国的胡汝鼎,他怀着“科学救国”的抱负到美国深造,在大发明家爱迪生为董事长的美国通用电器公司任职员。一天,他站在繁华的十字路口等待绿灯信号,当他看到红灯而正要过去时,一辆转弯的汽车呼地一声擦身而过,吓了他一身冷汗。回到宿舍,他反复琢磨,终于想到在红、绿灯中间再加上一个黄色信号灯,提醒人们注意危险。他的建议立即得到有关方面的肯定。于是红、黄、绿三色信号灯即以一个完整的指挥信号家族,遍及全世界陆、海、空交通领域了。 交通灯控制器设计主要功能是用单片机控制LED灯模拟指示。模拟东西南北方向的十字路口交通灯信号控制情况。以89C51单片机为核心芯片,采用中断方式实现控制。本模拟系统由单片机硬/软件系统,两位8段数码管和LED灯显示系统。和复位电路控制电路等组成,较好的模拟了交通路面的控制。

基本要求: 利用单片机的定时器产生秒信号,控制十字路口的红、绿、黄灯交替点亮和熄灭,并且用4只LED数码管显示十字路口两个方向的剩余时间。要求能用按键设置两个方向的通行时间(绿、红等点亮的时间)和暂缓通行时间(黄灯点亮的时间)。 系统的工作应符合一般交通灯控制的要求。 参考文献: [1] 张毅刚,彭喜元编著.《单片机原理与应用设计》 [2] 郭天祥编著.《新概念51单片机C语言教程:入门、提高、开发、拓展全攻略》 [3]编写本课程设计内容的软件设计(包含程序流程图和对程序注释)。 [4]硬件实验部分可选用实验箱测试或Proteus仿真软件实现。

交通灯课程设计报告

摘要 在今天的交通情况下,很多路口都出现拥堵和秩序混乱的情况,由此可见交通灯在生活中的重要性。我们本次课程设计的题目是交通灯控制器设计,要求设计并制作主/支交通信号灯控制器。我们小组成员通过共同交流和努力,完成了仿真图的设计、电路板的焊接、原理图的绘制。在由主干道和支干道汇成的十字路口,主、支道分别装有红、绿、黄三色信号灯,并完成数码管的置数。 通过本次课设,我们小组成员对数字电路的知识有了更深刻地了解。明白了在课设的各个阶段,我们都必须对元器件的原理非常了解。

目录 1 设计容及要求 (1) 2 方案论证 (1) 3 单元设计电路 (2) 3.1 总原理 (2) 3.2 控制电路 (3) 3.3 时钟产生电路 (3) 3.4 显示电路 (4) 3.5 器件 (5) 3.5.1可预置的十进制同步计数器74LS160 (5) 3.5.2 3 线-8 线译码器74LS138 (5) 3.5.3双时钟方式的十进制可逆计数器74LS192 (bcd,二进制) (6) 3.5.4 七段码译码器CD4511 (6) 4 组装及调试 (7) 4.1 通电前检查 (7) 4.2 通电检查 (7) 4.2.1 555电路模块的检查 (7) 4.2.2 CD4511的检查 (7) 4.2.3 74LS192的检查 (8) 4.2.4 控制电路及相关门电路的检查 (8) 4.2.5 发光二极管的检查 (9) 4.3 结果分析 (9) 5 设计总结 (10) 5.1 体会 (10) 5.2 设计电路的特点和方案的优缺点 (11) 5.3 改进方法 (11) 参考文献、附录Ⅰ、附录Ⅱ............................................................................ 错误!未定义书签。

简易交通灯控制器的设计报告

一、概述 交通灯在人类道路交通发展过程中扮演着非常重要的角色,而我国是一个人口超 级大国,汽车工业的发展正在快速增长的阶段,因此限制车辆的增加不是解决问题的好方法。而采取增加供给,即大量修筑道路基础设施的方法,在资源、环境矛盾越来越突出的今天,面对越来越拥挤的交通,有限的源和财力以及环境的压力,也将受到限制。这就需要依靠除限制需求和提供道路设施之外的其他方法来满足日益增长的交通需求。 智能交通灯系统正是解决这一矛盾的途径之一。对城市交通流进行智能控制,可以使道路畅通,提高交通效率。合理进行交通灯控制可以对交通流进行有效的引导和调度,使交通保持在一个平稳的运行状态,从而避免或缓和交通拥挤状况,大大提高交通运输的运行效率,还可以减少交通事故,增加交通安全,降低污染程度,节省能 源消耗,本文就是通过对交叉路口交通灯的智能控制,达到优化路口交通流的目的。 二、方案论证 设计一个简单的交通灯控制器。实际上就是四个平时状态加上一个紧急状态。我们不妨设: S1:南北方向红灯亮,东西方向绿灯亮,时间15s; S2:南北方向红灯亮,东西方向黄灯亮,时间3s; S3:南北方向绿灯亮,东西方向红灯亮,时间l5s; S4:南北方向黄灯亮,东西方向红灯亮,时间3s; S5(紧急状态):如果发生紧急事件,可以手动控制四个方向红灯全亮。 图1 主电路状态与指示灯状态转换

S5的紧急状态,我们可以设计一个开关来控制这个状态的开启与关闭。剩余的四个状态我们可以放在一起来综合考虑。 因为四个状态是轮换的。首先,用10进制计数器对平时状态下的四种情况进行计数,再用3线——8线译码器对这四种状态进行编码,之后控制四个方向的二极管(代替红绿灯)的亮暗。其次,1Hz脉冲信号我们可以用555时基电路构成的多谐振荡器来实现。555定时器内部的比较器灵敏度高,输出驱动电流大,功能灵活,而且采用差分电路形式,它的振荡频率受电源电压和温度的影响很小。由555定时器构成的多谐振荡器的振荡频率稳定,不易受干扰。再者,用两片十进制可逆计数器来显示黄绿灯亮的秒数。 最后,就可以基本实现红绿灯的简单控制。 图2 红绿灯控制器的基本流程图 三、电路设计 1.脉冲产生电路 脉冲是由555时基电路构成的多谐振荡器产生的。选取两个固定电阻,计算出电容,使其频率为1Hz,其电路图如下:

交通灯控制器课程设计报告

. 中南林业科技大学 课程设计报告 设计名称:交通灯控制器 姓名: 学号: 专业班级: 院(系): 一、课程设计题目:交通灯控制器

时间:2015年6月29日至7月13日 地点: 指导老师: 二、课程设计目的 交通灯控制信号的应用非常广泛。本电路设计一个交通灯控制器,需要达到的目的如下: 一个周期64秒,平均分配,前32秒红灯亮,后32秒绿灯亮。 在红灯亮的期间的后8秒与红灯在一起的黄灯闪烁(注意:红灯同时亮)。为了显示效果明显,设计闪烁频率为1。 在绿灯亮的期间的后8秒与绿灯在一起的黄灯闪烁(注意:绿灯同时亮),为了显示效果明显,设计闪烁频率为1。 在黄灯闪烁期间,数码管同时倒计时显示,在此期间以外,数码管不亮. 三、课程设计方案 为了完成交通灯控制电路的设计,方案考虑如下: 一个脉冲信号发生器,一个二进制加法计数器,一个十进制减法计数器,红灯与绿灯以及黄灯是否亮是由二进制加法计数器的输出端状态来决定的,因此,设计一个组合逻辑电路,它的输入信号就是二进制加法计数器的输出信号,它的输出就是发光二极管的控制信号,因此,需要一个组合逻辑电路,六个发光二极管(二个红色发光二极管,二个绿色发光二极管,二个黄色发光二极管)电路,一个数码管显示电路。结构图如下:

四、课程设计原理 脉冲信号发生器由定时器555构成。 二进制加法计数器由七位二进制加法计数器4024构成。 十进制减法计数器由74LS193可逆可预置十进制计数器构成。 组合逻辑电路根据其输入输出的逻辑关系后再确定电路芯片。 驱动器选用4511。 从以上讨论可知,需要对所采用的芯片有比较详细的了解。下面对以上几种芯片的基本知识和基本特性进行介绍。 1、555定时器 555定时器是一块常用的集成电路,电路符号如左图所示,8为电源端VCC,1为公共端GND。所加电源电压围:4.5V

微机原理课程设计交通灯

一、课程设计题目 交通灯控制 二、课程设计目的 ●综合运用《微机原理与应用》课程知识,利用集成电路设计实 现一些中小规模电子电路或者完成一定功能的程序,以复习巩 固课堂所学的理论知识,提高程序设计能力及实现系统、绘制 系统电路图的能力,为实际应用奠定一定的基础。 ●掌握8255A方式0的使用与编程方法 ●PC机及配套的接口电路实验装置 ●IC芯片:8255A应用和8253 三、课程设计容 ●采用8255A设计交通灯控制的接口方案 ●采用8253设计延时电路 ●插接电路 ●编写控制程序 四、课程设计过程 1、设计原理 ●8255 8255是Intel公司生产的可编程并行I/O接口芯片,有3 个8位并行I/O口。具有3个通道3种工作方式的可编程 并行接口芯片(40引脚)。其部与引脚图如图所示:

8255有三个端口A、B、C端口,3种不同的工作方式,在其控制字的作用下使某一个端口工作于某一种工作状态下。 8253 intel8253是NMOS工艺制成的可编程计数器/定时器,其部有三个计数器,分别成为计数器0、计数器1和计数器2,他们的机构完全相同,如图所示:

每个计数器的输入和输出都决定于设置在控制寄存器中的控制字,互相之间工作完全独立,采用减1计数方式。控制字如图所示: 在门控信号有效时,每输入1个计数脉冲,通道作1次计数操作。当计数脉冲是已知周期的时钟信号时,计数就成为定时。各通道可有6种可供选择的工作方式,以完成定时、计数或脉冲发生器等多种功能。在这里我们主要采用方式0:计数结

束产生中断 (由低电平变为高电平)。其波形图如图所示: a. 写CW后:OUT=0,直到计数到0 b. 写N后:下1个CLK脉冲下降沿开始计数 c. 计数过程中,可重写N,重写N后,同b. d. GATE的作用:GATE=1计数、=0暂停计数 e. 计数到0:OUT=1,直到再写CW或N 2、方案设计 考虑普通十字路口,交通灯的控制可分东西向和南北向两 组,每组可用红、黄、绿三个灯进行交通管理,所以本方 案要点是至少对六个交通灯进行控制。由于灯光控制只需 要开、关两个状态,所以可以采用开关量实施控制。开关

FPGA实验报告-交通灯控制器设计

FPGA实验报告 --交通灯控制器设计 院系:电子与信息工程系 专业:通信工程 班级: 姓名: 学号: 指导教师:

一、实验任务 1、任务名称:交通灯控制器的设计 2、设计容与要求: ① 设计一个十字路口交通信号灯的定时控制电路。要求红、绿灯按一定的规律亮和灭,并在亮灯期间进行倒计时,并将运行时间用数码管/液晶显示出来。 ② 绿灯亮时,为该车道允许通行信号,红灯亮时,为该车道禁止通行信号。要求主干道每次通行时间为99秒,支干道每次通行时间为30秒。每次变换运行车道前绿灯闪烁,持续时间为5秒。即车道要由主干道转换为支干道时,主干道在通行时间只剩下5秒钟时,绿灯闪烁显示,支干道仍为红灯,以便主干道上已过停车线的车继续通行,未过停车线的车停止通行。同理,当车道由支干道转换为主干道时,支干道绿灯闪烁显示5秒钟,主干道仍为红灯。 ③ 对红、绿灯的运行时间要能比较方便的进行重新设置。 ④ 对器件进行在系统编程和实验验证。 ⑤ 用VHDL 语言对设计进行描述,设计一个测试方案,通过ISE 对设计进行仿真验证。并能够下载到实验板上调试成功。 6 写出设计性实验报告,并打印各层次的源文件和仿真波形,然后作简要说明。 2、补充功能与要求: 1.在主干道和支干道添加左转向灯; 2.各灯亮的时间及最后闪烁时间可调节; 3.紧急路况时,主干道和支干道都为红灯。 二、实验环境 1、ISE 软件一套; 2、PC 机一台。 三、设计思路 1、根据题目要求,知道整个交通灯的运行过程是周期的,所以可以设计一个总的计数器,满周期则清零; 2、将灯闪烁时间、主干道绿灯亮的时间、主干道转向灯亮的时间、支干道绿灯亮的时

交通灯课程设计报告

摘要 在今天的交通情况下,很多路口都出现拥堵与秩序混乱的情况,由此可见交通灯在生活中的重要性。我们本次课程设计的题目就是交通灯控制器设计,要求设计并制作主/支交通信号灯控制器。我们小组成员通过共同交流与努力,完成了仿真图的设计、电路板的焊接、原理图的绘制。在由主干道与支干道汇成的十字路口,主、支道分别装有红、绿、黄三色信号灯,并完成数码管的置数。 通过本次课设,我们小组成员对数字电路的知识有了更深刻地了解。明白了在课设的各个阶段,我们都必须对元器件的原理非常了解。

目录 1 设计内容及要求 (1) 2 方案论证 (1) 3 单元设计电路 (2) 3、1 总原理 (2) 3、2 控制电路 (3) 3、3 时钟产生电路 (3) 3、4 显示电路 (4) 3、5 器件 (5) 3、5、1可预置的十进制同步计数器74LS160 (5) 3、5、2 3 线-8 线译码器74LS138 (5) 3、5、3双时钟方式的十进制可逆计数器74LS192 (bcd,二进制) (6) 3、5、4 七段码译码器CD4511 (6) 4 组装及调试 (7) 4、1 通电前检查 (7) 4、2 通电检查 (7) 4、2、1 555电路模块的检查 (7) 4、2、2 CD4511的检查 (7) 4、2、3 74LS192的检查 (8) 4、2、4 控制电路及相关门电路的检查 (8) 4、2、5 发光二极管的检查 (9) 4、3 结果分析 (9) 5 设计总结 (10) 5、1 体会 (10) 5、2 设计电路的特点与方案的优缺点 (11) 5、3 改进方法 (11) 参考文献、附录Ⅰ、附录Ⅱ.................................................................. 错误!未定义书签。

数电交通灯课程设计报告

电子技术课程 设计报告 二 级 学 院 机械与电子工程学院 专 业 电气工程及其自动化 年 级 2014级 学 号 14106***** 学 生 姓 名 苏 运 指 导 教 师 杨** 完 成 时 间 2015 年12 月25 日 简易交通灯的设计

简易交通灯的设计 作者:苏运 指导老师:杨** 摘要:利用数码管,74LS48译码器、74LS192计数器、ne555、与非门、或非门、触发器、蜂鸣器和小彩灯等电子元件,制作简易交通灯,实现15秒倒计时开始后,到达5秒时红灯熄灭,黄灯闪烁同时蜂鸣器响,到达0秒时切换到另外两个方向的红绿灯亮的功能。经测试,系统达到红绿灯转换和显示的基本要求,具有电路美观稳定性高的优点。 关键词:数电交通灯;交通灯;简易交通灯;计数器

目录 1 设计要求及方案选择 (1) 1.1设计要求 (1) 1.2方案选择 (1) 2 理论分析与设计 (1) 2.1脉冲发生器电路的分析及设计 (1) 2.2定时器电路的分析及设计 (2) 2.3交通灯和蜂鸣器电路的分析及设计 (3) 2.4控制器电路的分析及设计 (4) 2.5数码显示电路的分析及设计 (4) 3电路设计 (5) 3.1脉冲发生器电路的设计 (5) 3.2定时器电路的设计 (6) 3.3交通灯和蜂鸣器电路的设计 (6) 3.4控制电路的设计 (7) 3.5码显示电路的设计 (9) 3.6电源开关和指示灯电路的设计 (9) 4系统测试实验 (10) 4.1调试所用的基本仪器清单 (10) 4.2软件仿真调试 (10) 4.3实物电路板的调试 (10) 4.4时钟脉冲信号的测定 (10) 4.5调试结果 (11) 4.6测试结果分析 (11) 5 总结 (12) 附录 (13) 附录A 仿真图 (13) 附录B 原理图 (13) 附录C PCB图 (14) 附录D 实物图 (14) 附录E 脉冲信号测试图 (15) 附录F 元件清单 (15) 参考文献 (16)

电子课程设计 交通灯

模拟电子技术课程设计 学院: 电气与信息工程学院 专业班级: 学生1姓名: 学生1学号: 学生2姓名: 学生2学号: 指导教师: 完成时间: 成绩: 存在得问题1: (9) 存在得问题2: (9) 存在得问题3: (9) 五.附录 (10) 表2 元器件明细表 (10) 附图2 (12) 实物图 (13) 六.参考文献 (13)

简易交通灯控制逻辑电路设计报告 一、设计要求 (1)东西方向绿灯亮,南北方向红灯亮,时间15s。 (2)东西方向与南北方向黄灯亮,时间5s。 (3) 南北方向绿灯亮,东西方向红灯亮,时间l0s。 (4) 如果发生紧急事件,可以手动控制四个方向红灯全亮。 二、设计得作用、目得 设计一个交通灯控制器,由一条主干道(东西道)与一条支干道(南北道)汇合成十字路口,在每个入口处设置红、绿、黄三色信号灯,红灯亮禁止通行,绿灯亮允许通行,黄灯亮则给行驶中得车辆有时间停在禁行线外。 主、支干道均有车时,两者交替允许通行,主干道每次放行15秒,支干道每次放行10秒,还有5秒得黄灯时间作为过度,让行驶中得车辆停在禁行线以外。 通过对交通灯得设计,加深对555定时器构建电路得理解,掌握基本电路在实际生活中得应用。 三.设计得基本实现 1、系统概述 因为信号灯得工作状态循环不变,故可以才用扭环形计数器将单位时间脉冲按分配来实现,根据要求,可以采用n=6得扭环形计数器。扭环形计数器可以由3个74LS-双D触发器组成。 系统由脉冲信号发生器、定时器、控制器、信号灯显示器五大部分组成。其中脉冲信号发生器用于给各个组成部分提供脉冲信号,通过定时器向控制器发出定时信号,使相应得LED灯发光。控制器根据定时器得信号,进行状态间得转换,使显示器得显示发生相应转变。 2、单元电路得设计与分析

交通灯设计报告

交通灯设计报告 1

一.设计要求 (1)在十字路口的两个方向上各设一组红灯、绿灯、黄灯,显示顺序为:其中一个方向是绿灯、黄灯、红灯,另一个方向是红灯、黄灯、绿灯。 (2)设置一组数码管,以倒计时的方式显示允许通行或禁止通行的时间,其中绿灯、黄灯、红灯的持续时间分别为20s、5s、25s。 (3)当各条路中任意一条上出现特殊情况,例如有消防车、救护车或其它需要优先放行的车辆时,各方向上均是红灯亮,倒计时停止,且显示数字在闪烁。当特殊运行状态结束后,控制器恢复原来状态,继续正常运行。 二.设计原理及框图 交通灯控制系统的原理框图如图1所示,它主要由秒脉冲发生器,时间显示器,倒计时计数器,计数控制器,交通灯控制器,交通显示灯,紧急开关构成。秒脉冲发生器是该系统中定时器的标准时钟信号源,同时控制着正常工作时黄灯与特殊情况下数码管数字的闪烁,倒计时计数器控制器控制倒计时计数器,倒计时计数器输出的数字经过时间显示器显示在数码管上。交通控制器

控制交通显示灯的亮灭,交通控制灯的输入信号由紧急开关和倒计时计数器共同提供。 图一:交通灯控制系统的原理框图

两方向车道的交通灯的运行状态共有4种,如图2所示,它们转换到数字状态如下图。 TF: 表示甲车道或乙车道红灯亮的时间间隔为25秒,当TF=0时,甲车道为红灯,25秒倒计时;当TF=1时,乙车道为红灯,25秒倒计时。 TS=0 TS=1 TF=0 TF=1 TF=0 TF=1 状态0 状态1 状态2 状态3

TS:表示倒计时到5秒和20秒。TY=0倒计时20秒,否则,TY=1倒计时5秒 一般十字路口的交通灯控制系统的工作过程如下: (1)甲车道绿灯亮,乙车道红灯亮。表示甲车道上的车辆允许通行,乙车道禁止 通行。此时TF=0,TS=0;绿灯亮足规定的时间隔20s,倒计时计数器发出状态转换信号使TS=1,使计数控制器使TS=1转到下一工作状态。 (2)甲车道黄灯亮,乙车道红灯亮。表示甲车道上未过停车线的车辆停止通行,已过停车线的车辆继续通行,乙车道禁止通行。黄灯亮足规定时间间隔5s时,倒计时计数器发出状态转换信号使TF=1,TS=0,使控制器控制译码器转到下一工作状态。 (3)甲车道红灯亮,乙车道绿灯亮。表示甲车道禁止通行,乙车道上的车辆允许通行,绿灯亮足规定的时间间隔20s时,倒计时计数器发出状态转换信号使TS=1,使控制器控制译码器转到下一工作状态。 (4)甲车道红灯亮,乙车道黄灯亮。表示甲车道禁止通行,乙车道上位过县停车线的车辆停止通行,已过停车线的车辆停止通行,已过停车线的车辆继续通行。黄灯亮足规定的时间间隔5s 时,倒计时计数器发出状态转换信号使TF=0,TS=0,使计数控制器转到下一工作状态,即系统又转换到第(1)种工作状态。

数字系统课程设计-交通灯-实验报告

交通灯控制电路 摘要 在一个交通繁忙的十字路口,没有交通灯来控制来往车辆和行人的通行,假设也没有交警,那会发生什么事情呢?后果是难以想象的,可能会陷入一片混乱,甚至瘫痪。当然我们每个人都不希望这样。我们作为社会的一员,每人都有责任为它的更加先进和快捷做出力所能及的事情。我设计的这个交通控制系统可以通过交通灯控制东西方向车道和南北方向车道两条主次交叉道路上的车辆交替运行,用以减少交通事故的发生概率。并且经过这次实验使得我对电子技术课程内容的理解和掌握有了更深一层的认识,也学会使用半导体元件和集成电路,掌握电子电路的基本分析方法和设计方法,进一步提高分析解决实际问题的综合能力,也为将来的就业或继续深造做好准备。 一、任务 在城市道路上的交叉路口一般设置有交通灯,用于管理两条道路通行车辆。现有一条主干道和一条支干道的汇合点形成十字交叉口,为确保车辆安全、迅速的通行,在交叉路口的每条道上设置一组交通灯,交通灯由红、黄、绿3色组成。红灯亮表示此通道禁止车辆通过路口;黄灯亮表示此通道未过停车线的车辆禁止通行,已过停车线的车辆继续通行;绿灯亮表示该通道车辆可以通行。要求设计一交通灯控制电路以控制十字路口两组交通灯的状态转换,指挥车辆安全通行。指挥车辆安全通行。 设计要求 1、基本要求 (1)设计一个十字路口交通灯控制电路,要求主干道与支干道交替通行。 主干道通行时,主干道绿灯亮,支干道红灯亮,时间为60秒。支干道 通行时,支干道绿灯亮,主干道红灯亮,时间为30秒。 (2)每次绿灯变红灯时,要求黄灯先亮5秒钟。此时另一路口红灯也不变。 (3)黄灯亮时,要求黄灯闪烁,频率为1Hz。 2、发挥部分 要求在绿灯亮(通行时间内)和红灯亮(禁止通行时间内)均有倒计时显示。 二、设计方案选取与论证 1、所选方案的理由:本设计的交通灯控制电路是综合运用了74LS192芯片、7474芯片和NE555芯片等的集成电路。根据任务要求,用单片机或分立组件来实现是比较容易的,但是由于要求不能使用单片机设计,因此使用数字电路课程里学过的知识,运用它们来设计分析电路。即使用分立组件来实现。 2、方案的可行性、优缺点

相关文档
相关文档 最新文档