文档库 最新最全的文档下载
当前位置:文档库 › 讲稿4.1 4.2

讲稿4.1 4.2

讲稿4.1 4.2
讲稿4.1 4.2

4.1 组合逻辑电路设计

4.1.1 基本门电路

基本门电路有与门、或门、非门、与非门、或非门和异或门等,用VHDL语言来描述十分方便。

【例4.1】2输入与非门电路

LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL;

ENTITY xx1 IS

PORT(a,b:IN STD_ LOGIC;

y:OUT STD_LOGIC);

END xx1;

ARCHITECTURE NAND2PP OF xx1 IS

BEGIN

y<=a NAND B;

END NAND2PP;

【例4.2】2输入或非门

LIBRARY ieee;

use ieee.std_logic_1164.all;

entity nor2 is

port(a,b:in std_logic;

y:out std_logic);

end nor2;

architecture nor_behave of nor2 is

begin

y<=a nor b;

end nor_behave;

【例4.3】2输入异或门电路

library ieee;

use ieee.std_logic_1164.all;

entity xor2 is

PORT(a,b:IN STD_IOGIC;

y:out std_logic);

END xor2;

ARCHITECTURE XOR_BEHA VE OF XOR2 IS

begin

y<=a xor b;

END XOR_BEHA VE;

【例4.4】同时实现一个与门、或门、与非门、或非门、异或门及反相器的逻辑LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL;

ENTITY GATE IS

PORT (A,B:IN STD_LOGIC;

YAND,YOR,YNAND,YNOR,YNOT,YXOR:OUT STD_LOGIC);

END GATE;

ARCHITECTURE ART OF GA TE IS

BEGIN

YAND<=A AND B;--与门输出

YOR<=A OR B;--或门输出

YNAND<=A NAND B;--与非门输出

YNOR<=A NOR B;--或非门输出

YNOT<=NOT B;--反相器输出

YXOR<=A XOR B;--异或门输出

END ART;

4.1.2 3-8译码器

【例4.5】实现74LS138三线-八线译码器(输出低电平有效)。

三线-八线译码器74LS138的输出有效电平为低电平,译码器的使能控制输入端g1、g2a、g2b有效时,当3线数据输入端cba=000时,y[7..0]=11111110(即y[0]=0);当cba=001时,y[7..0]=11111101(即y[1]=0);依此类推。

用VHDL描述的三线-八线译码器74LS138源程序如下:

LIBRARY ieee;

USE ieee.std_logic_1164.all;

entity decoder38 is

port(a,b,c,g1,g2a,g2b:in std_logic;

y:out std_logic_vector(7 downto 0));

end decoder38;

architecture behave38 OF decoder38 is

signal indata:std_logic_vector(2 downto 0);

begin

indata<=c&b&a;

process(indata,g1,g2a,g2b)

begin

if(g1='1' and g2a='0' and g2b='0') then

case indata is

when "000"=>y<="11111110";

when "001"=>y<="11111101";

when "010"=>y<="11111011";

when "011"=>y<="11110111";

when "100"=>y<="11101111";

when "101"=>y<="11011111";

when "110"=>y<="10111111";

when "111"=>y<="01111111";

when others=>y<="XXXXXXXX";

end case;

else

y<="11111111";

end if;

end process;

end behave38;

【例4.6】分别以4种方法描述一个输出高电平有效的3-8译码器。LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL;

USE IEEE.STD_LOGIC_UNSIGNED_ALL;

ENTITY DECODER IS

PORT(INP:IN STD_LOGIC_VECTOR(2 DOWNTO 0);

OUTP:OUT BIT_VECTOR (7 DOWNTO 0));

END DECODER;

方法1:使用PROCESS语句

ARCHITECTURE ART1 OF DECODER IS

BEGIN

PROCESS(INP)

BEGIN

OUTP<=(OTHERS=>…0?)

OUTP(CONV_INTEGER(INP))<=…1?

END PROCESS;

END ART2;

方法2:使用WHEN ELSE 语句【条件赋值语句】并行的ARCHITECTURE ART2 OF DECODER IS

BEGIN

OUTP(0)<=…1? WHEN INP="000" ELSE "0";

OUTP(1)<=…1? WHEN INP="001" ELSE "0";

OUTP(2)<=…1? WHEN INP="010" ELSE "0";

OUTP(3)<=…1? WHEN INP="011" ELSE "0";

OUTP(4)<=…1? WHEN INP="100" ELSE "0";

OUTP(5)<=…1? WHEN INP="101" ELSE "0";

OUTP(6)<=…1? WHEN INP="110" ELSE "0";

OUTP(7)<=…1? WHEN INP="111" ELSE "0";

END ART2;

方法3:使用CASE_WHEN 语句【转向控制语句】顺序的ARCHITECTURE ART3 OF DECODER IS

BEGIN

CASE INP IS

WHEN "000"=>OUTP<= "00000001";

WHEN "001"=>OUTP<= "00000010";

WHEN "010"=>OUTP<= "00000100";

WHEN "011"=>OUTP<= "00001000";

WHEN "100"=>OUTP<= "00010000";

WHEN "101"=>OUTP<= "00100000";

WHEN "110"=>OUTP<= "01000000";

WHEN "111"=>OUTP<= "10000000";

WHEN OTHERS=>OUTP<= "XXXXXXXX";

END CASE;

END ART3;

方法4:使用SLL逻辑运算符(使用逻辑左移运算符)

ARCHITECTURE ART4 OF DECODER IS

BEGIN

OUTP<= "00000001" SLL (CONV_INTEGER(INP));

END ART4;

4.1.3 编码器

8-3线优先编码器

8-3线优先编码器,输入信号为A、B、C、D、E、F、G和H,输出信号为OUT0、OUT1和OUT2。输入信号中A的优先级别最低,依次类推,H的优先级别最高。

【例4.7】用3种方法设计8-3线优先编码器。

LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL;

ENTITY ENCODER IS

PORT (A,B,C,D,E,F,G,H:IN STD_LOGIC:

OUT0,OUT1,OUT2:OUT STD_LOGIC);

END ENCODER;

方法1:使用条件赋值语句

ARCHITECTURE ART1 OF ENCODER IS

SIGNAL OUTS:STD_LOGIC_VECTOR(2 DOWNTO 0);

BEGIN

OUTS (2 DOWNTO 0)<= "111" WHEN H=…1? ELSE

"110" WHEN G=…1? ELSE

"101" WHEN F=…1? ELSE

"100" WHEN E=…1? ELSE

"011" WHEN D=…1? ELSE

"010" WHEN C=…1? ELSE

"001" WHEN B=…1? ELSE

"000" WHEN A=…1? ELSE

"XXX";

OUT0<=OUTS(0);

OUT1<=OUTS(1);

OUT2<=OUTS(2);

END ART1;

方法2:使用LOOP语句

ARCHITECTURE ART2 OF ENCODER IS

BEGIN

PROCESS(A,B,C,D,E,F,G,H)

V ARIABLE INPUTS:STD_LOGIC_VECTOR(7 DOWNTO 0);

V ARIABLE I:INTEGER;

BEGIN

INPUT:=(H,G,F,E,D,C,B,A);

I:=7;

WHILE I>=0 AND INPUTS(I)/=…1? LOOP

I:=I-1;

END LOOP;

(OUT2,OUT1,OUT0)<=CONV_STD_LOGIC_VECTOR(I,3);END PROCESS;

END ART2;

方法3:使用IF语句

LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL;

ENTITY ENCODER IS

PORT(IN1:IN STD_LOGIC_VECTOR(7 DOWNTO 0);

OUT1:OUT STD_LOGIC_VECTOR(2 DOWNTO 0));

END ENCODER;

ARCHITECTURE ART3 OF ENCODER IS

BEGIN

PROCESS(IN1)

BEGIN

IF IN1(7)=…1? THEN OUT1<="111";

ELSIF IN1(6)=…1? THEN OUT1<="110";

ELSIF IN1(5)=…1? THEN OUT1<="101";

ELSIF IN1(4)=…1? THEN OUT1<="100";

ELSIF IN1(3)=…1? THEN OUT1<="011";

ELSIF IN1(2)=…1? THEN OUT1<="010";

ELSIF IN1(1)=…1? THEN OUT1<="001";

ELSIF IN1(0)=…1? THEN OUT1<="000";

ELSE OUT1<="XXX";

END IF ;

END PROCESS;

END ART3;

4.1.4 算术运算电路

1.加法器

【例4.12】半加器

LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL;

ENTITY half IS

PORT (a,b:IN std_LOGIC;

s,co:OUT std_LOGIC);

END half;

ARCHITECTURE half1 OF half IS

signal c,d:std_logic;

BEGIN

c<=a OR b;

d<=a NAND b;

co<=NOT d;

s<=c and d;

END half1;

【例4.13】全加器,由半加器组成,以元件方式调用。

LIBRARY ieee;

USE ieee.std_logic_1164.all;

ENTITY half IS

PORT (a,b:IN std_LOGIC;

s,co:OUT std_LOGIC);

END half;

ARCHITECTURE half1 OF half IS

signal c,d:std_logic;

BEGIN

c<=a or b;

d<=a nand b;

co<=not d;

s<=c and d;

end half1;

LIBRARY ieee;

USE ieee.std_logic_1164.all;

ENTITY full IS

PORT (a,b,cin:IN std_LOGIC;

s,co:OUT std_LOGIC);

END full;

ARCHITECTURE full1 OF full IS

COMPONENT half

PORT (a,b:IN std_LOGIC;

s,co:OUT std_LOGIC);

END COMPONENT;

SIGNAL u0_co,u0_s,u1_co:std_logic;

BEGIN

u0:half port map(a,b,u0_s,u0_co);

u1:half port map(u0_s,cin,s,u1_co);

co<=u0_co or u1_co;

end full1;

2. 乘法器

【例4.14】8位乘法器的设计。

8位乘法器的元件符号如图4.4所示,a[7..0]和b[7..0]是被乘数和乘数输入端,q[15..0]是乘积输出端。8位乘法器源程序如下:

LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL;

ENTITY mul IS

PORT(a,b:IN integer range 0 to 255;

q:OUT integer range 0 to 65535);

END mul;

ARCHITECTURE one OF mul IS

BEGIN

q<=a *b;

END one;

【例4.15】对输入操作数A、B作加、减、乘、除运算

LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL;

USE IEEE.STD_LOGIC_ARITH.ALL;

USE IEEE.STD_LOGIC_UNSIGNED.ALL;

ENTITY ARITHMETIC IS

PORT (A,B:IN STD_LOGIC_VECTOR(3 DOWNTO 0);

Q1:OUT STD_LOGIC_VECTOR(4 DOWNTO 0);

Q2,Q3:OUT STD_LOGIC_VECTOR(3 DOWNTO 0);

Q4:OUT STD_LOGIC_VECTOR(7 DOWNTO 0));

END ARITHMETIC;

ARCHITECTURE ART OF ARITHMETIC IS

BEGIN

PROCESS(A,B)

BEGIN

Q1<=(…0?&A)+('0'&B); --ADDITION

Q2<=A-B;--SUBTRACTION

Q3<=A/B;--DIVISION

Q4<=A*B;--MULTIPLICATION END PROCESS;

END ART;

练习2-3 组合逻辑电路的设计

一、实验目的

1、掌握组合逻辑电路的设计方法。

2、掌握组合逻辑电路的静态测试方法。

3、熟悉FPGA设计的过程,比较原理图输入和文本输入的优劣。

二、实验的硬件要求

1、输入:按键开关(常高)4个;拨码开关4位。

2、输出:LED灯。

3、主芯片:Cyclone FPGA:EP1C3TC144C8。

三、实验内容

1、设计一个四舍五入判别电路,其输入为8421BCD码,要求当输入大于或等于5时,判别电路输出为1,反之为0。

2、设计四个开关控制一盏灯的逻辑电路,要求改变任意开关的状态能够引起灯亮灭状态的改变。

3、设计一个优先排队电路,排队顺序如下:

A=1 最高优先级; B=1 次高优先级; C=1 最低优先级

要求输出端最多只能有一端为“1”,即只能是优先级较高的输入端所对应的输出端为“1”。

四、参考原理图

1、实验内容1的原理图如下图所示:

本实验选择模式5(NO。5)

4位开关输入为

D0 1 PIO0 PIN1

D1 3 PIO1 PIN2

D2 2 PIO2 PIN3

D3 4 PIO3 PIN4

输出为LED D1灯

5 PIO8 PIN11

2、实验内容2的原理图如下图所示:

3、实验内容3的原理图如下图所示:

实验选择模式5(NO。5)

输入(键1、2、3)

A PIO0 PIN1

B PIO1 PIN2

C PIO2 PIN3

输出(D1、D2、D3)

A_OUT PIO8 PIN11

B_OUT PIO9 PIN32

C OUT PIO10 PIN33

五、源程序详单

1、四舍五入判别电路

library ieee;

use ieee.std_logic_1164.all;

use ieee.std_logic_unsigned.all;

entity sshwr is

port(d0,d1,d2,d3:in std_logic;

Dout:out std_logic);

end entity sshwr;

architecture art of sshwr is

signal d:std_logic_vector(3 downto 0);

begin

d<=d3&d2&d1&d0;

process(d)

begin

IF CONV_INTEGER(d)>=5 THEN

DOUT<='1';

ELSE

DOUT<='0';

END IF;

end process;

end architecture art;

2、四个开关控制一盏灯的逻辑电路

library ieee;

use ieee.std_logic_1164.all;

use ieee.std_logic_unsigned.all;

entity sxy is

port(k0,k1,k2,k3:in std_logic;

Dout:out std_logic );

end entity sxy;

architecture art of sxy is

signal k:std_logic_vector(3 downto 0);

begin

k<=k3&k2&k1&k0;

process(k0,k1,k2,k3)

begin

case k is

when "0000" =>Dout<='0';

when "0001" =>Dout<='1';

when "0011" =>Dout<='0';

when "0010" =>Dout<='1';

when "0110" =>Dout<='0';

when "0111" =>Dout<='1';

when "0101" =>Dout<='0';

when "0100" =>Dout<='1';

when "1100" =>Dout<='0';

when "1101" =>Dout<='1';

when "1111" =>Dout<='0';

when "1110" =>Dout<='1';

when "1010" =>Dout<='0';

when "1011" =>Dout<='1';

when "1001" =>Dout<='0';

when "1000" =>Dout<='1';

when others =>Dout<='X';

end case;

end process;

end architecture art;

3、优先排队电路

library ieee;

use ieee.std_logic_1164.all;

use ieee.std_logic_unsigned.all;

entity paidui is

port(a,b,c:in std_logic;

aout,bout,cout:out std_logic );

end entity paidui;

architecture art of paidui is

signal outs:std_logic_vector(2 downto 0);

begin

outs(2 downto 0)<="100" when a ='1' else

"010" when b ='1' else

"001" when c ='1' else

"000" ;

aout<=outs(2); bout<=outs(1); cout<=outs(0); end architecture art;

4.2 时序逻辑电路设计

(1)时序逻辑电路特点:

包括组合逻辑电路和存储电路(具有记忆功能的电路)或反馈延迟电路

输出-输入之间至少有一条反馈路径

存储电路由触发器构成,电路结构决定了时序逻辑电路的特点,即任意时刻的输出信号不仅取决于当前的输入信号,还取决于电路的原来状态。

(2)时序逻辑电路的基本单元:触发器

(3)主要的时序逻辑电路:触发器、寄存器、计数器、序列信号发生器和序列信号检测器等。

一、时钟信号和复位信号

1.时钟信号的描述

(1)若进程的敏感信号是时钟信号,时钟信号出现在process后括号中。

(2) 在进程中用W AIT ON语句等待时钟(此时进程没有敏感信号)

如:W AIT ON (clock_signal) UNTIL (clock_edge_condition)

注意: a.在对时钟边沿说明时,一定要注明是上升沿还是下降沿。

b.一个进程中只能描述一个时钟信号。

c.wait until 语句只能放在进程的最前面或最后面。

(3) 时钟的上升沿描述

wait until clk=?1?;wait until clock 'event AND clock='1';

wait until rising_edge(clk); wait until not(clock'stable) AND clock='1';

2.触发器的复位信号描述

(1)同步复位

在只有以时钟为敏感信号的进程中定义,当复位信号有效且在给定的时钟边沿到来时,触发器才被复位。

(2)异步复位

只要复位信号有效,触发器就被复位,所以敏感表中除时钟信号外,还需要复位信号。如:PROCESS(clock,reset)

BEGIN

IF reset='1' THEN

count<='0';

ELSIF clock 'event AND clock='1' THEN

count<=count+1;

END IF;

end process;

二、触发器

触发器是构成时序逻辑电路的基本元件,常用的触发器包括RS触发器、JK触发器、D触发器、T触发器等类型。

LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL;

ENTITY RSCFQ IS

PORT(R,S,CLK:IN STD_LOGIC;

Q,QB:BUFFER STD_LOGIC);

END RSCFQ;

ARCHITECTURE ART OF RSCFQ IS SIGNAL Q_S,QB_S:STD_LOGIC;

BEGIN

PROCESS(CLK,R,S)

BEGIN

IF (CLK'EVENT A ND CLK=…1?)THEN

IF(S=…1? AND R=…0?) THEN

Q_S<=…0?;

QB_S<=…1?;

ELSIF (S=…0? AND R=…1?) THEN

Q_S<=…1?;

QB_S<=…0?;

ELSIF (S=…0? AND R=…0?) THEN

Q_S<=Q_S;

QB_S<=QB_S;

END IF;

END IF ;

Q<=Q_S;

QB<=QB_S;

END PROCESS;

END ART;

2、JK触发器

LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL;

ENTITY JKCFQ IS

PORT(J,K,CLK:IN STD_LOGIC;

Q,QB:BUFFER STD_LOGIC);END JKCFQ;

ARCHITECTURE ART OF JKCFQ IS

SIGNAL Q_S,QB_S:STD_LOGIC;

BEGIN

PROCESS(CLK,J,K)

BEGIN

IF (CLK'EVENT AND CLK=…1?)THEN

IF(J=…0? AND K=…1?) THEN

Q_S<=…0?;

QB_S<=…1?;

ELSIF (J=…1? AND K=…0?) THEN

Q_S<=…1?;

QB_S<=…0?;

ELSIF (J=…1? AND K=…1?) THEN

Q_S<=NOT Q_S;

QB_S<=NOT QB_S;

END IF;

END IF ;

Q<=Q_S;

QB<=QB_S;

END PROCESS;

END ART;

3、D触发器:上升沿时,保证Q=D。

【例】LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL;

ENTITY DCFQ IS

PORT(D,CLK:IN STD_LOGIC;

Q:OUT STD_LOGIC);

END DCFQ;

ARCHITECTURE ART OF DCFQ IS

BEGIN

PROCESS(CLK)

BEGIN

IF (CLK'EVENT AND CLK=…1?) THEN -- 时钟上升沿触发Q<=D;

END IF;

END PROCESS;

END ART;

4、T触发器

LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL;

ENTITY TCFQ IS

PORT(T,CLK:IN STD_LOGIC;

Q:BUFFER STD_LOGIC);

END TCFQ;

ARCHITECTURE ART OF TCFQ IS

BEGIN

PROCESS(CLK)

BEGIN

IF (CLK'EVENT AND CLK=…1?)THEN

Q<=NOT(Q);

ELSE Q<=Q;

END IF;

END PROCESS;

END ART;

三、寄存器

寄存器用于寄存一组二值代码,广泛用于各类数字系统。因为一个触发器能储存1位二值代码,所以用N个触发器组成的寄存器能储存一组N位的二值代码。

【例】具有三态输出的8D锁存器元件符号如下图所示。CLR是复位控制输入端,当CLR=0时,8位数据输出Q[7..0]=00000000。ENA是使能控制输入端,当ENA=1时,锁存器处于工作状态,输出Q[7..0]=D[7..0];ENA=0时,锁存器的状态保持不变。OE是三态输出控制端,当OE=1时,输出为高阻态;OE=0时,锁存器为正常输出状态。

LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL;

ENTITY latch8 IS

PORT(clr,clk,ena,oe:IN STD_LOGIC;

d:IN STD_LOGIC_VECTOR(7 DOWNTO 0);

q:BUFFER STD_LOGIC_VECTOR(7 DOWNTO 0));

END latch8;

ARCHITECTURE one OF latch8 IS

SIGNAL q_temp:STD_LOGIC_VECTOR(7 DOWNTO 0);

BEGIN

u1:PROCESS(clk,clr,ena,oe)

BEGIN

IF clr='0' THEN q_temp <= "00000000";

ELSIF clk'EVENT AND clk='1' THEN

IF (ena='1') THEN

q_temp <= d;

END IF;

END IF;

IF oe='1' THEN q <= "ZZZZZZZZ";

ELSE q <= q_temp;

END IF;

END PROCESS u1;

END one;

四、计数器

计数器是在数字系统中使用最多的时序电路,它不仅能用于对时钟脉冲计数,还可以用于分频、定时、产生节拍脉冲和脉冲序列以及进行数字运算等。

【例】一个模为60,具有异步复位、同步置数功能的8421BCD码计数器。

LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL;

USE IEEE.STD_LOGIC_UNSIGNED.ALL;

ENTITY CNTM60 IS

PORT(CI:IN STD_LOGIC;

NRESET:IN STD_LOGIC;

LOAD:IN STD_LOGIC;

D:IN STD_LOGIC_VECTOR(7 DOWNTO 0);

CLK:IN STD_LOGIC;

CO:OUT STD_LOGIC;

QH:BUFFER STD_LOGIC_VECTOR(3 DOWNTO 0);

QL:BUFFER STD_LOGIC_VECTOR(3 DOWNTO 0));

END CNTM60;

ARCHITECTURE ART OF CNTM60 IS

BEGIN

CO<=…1?WHEN(QH="0101"AND QL="1001"AND CI=…1?)ELSE'0';

--进位输出的产生

PROCESS(CLK,NRESET)

BEGIN

IF(NRESET=…0?)THEN--异步复位

QH<="0000";

QL<="0000";

ELSIF(CLK'EVENT AND CLK=…1?)THEN --同步置数

IF(LOAD=…1?)THEN

QH<=D(7 DOWNTO 4)

Q L<=D(3 DOWNTO 0);

ELSIF(CI=…1?)THEN --模60的实现

IF(QL=9)THEN

QL<="0000";

IF(QH=5)THEN

QH<="0000";

ELSE --计数功能的实现

QH<=QH+1;

END IF

ELSE

QL<=QL+1;

END IF;

END IF;--END IF LOAD

END PROCESS;END ART;

练习二触发器功能的模拟实现

一、实验目的:

1、掌握触发器功能的测试方法。

2、掌握几种主要触发器之间相互转换的关系。

3、通过实验体会CPLD芯片的高集成度和多I/O口。

二、实验原理:

基本RS触发器原理、同步RS触发器原理、集成JK触发器原理、D触发器原理(略)

三、实验内容:

基本RS触发器、同步RS触发器、集成JK触发器和D触发器同时集成在一个芯片上,实现的原理图如下:

输入信号Sd、Rd对应的管脚接按键开关,CLK1、CLK2接时钟源(频率<5Hz);J,K,D,R,S对应的管脚分别接拨码开关;输出信号QRS,NQRS,QRSC,NQRSC,QJK,NQJK,QD,NQD对应管脚分别接LED灯。

2、编译设计

3、仿真设计

4、引脚锁定:clk1 PIN_67,clk2 PIN_68, clrn PIN_10,sd PIN_1,rd PIN_2,s PIN_3,r PIN_4,j PIN_5,k PIN_6,d PIN_7,

qrs PIN_11,nqrs PIN_32,qrsc PIN_33,nqrsc PIN_34,qjk PIN_35,nqjk PIN_36,qd PIN_37,nqd PIN_38

5、编程下载及验证

四、实验报告:填下列表格(一、二、三、四)

关于规范教案 讲稿 的指导意见

关于规范教案(讲稿)的指导意见 各教学单位: 为提高教学质量,相对规范教案(讲稿)格式,迎接明年的本科水平评估,经专家讨论并参照兄弟院校的经验,对我校的教案(讲稿)的编写提出以下意见,请各单位结合自己的实际情况参照执行。 教务处 2007.3.30 一、关于教案编写的基本要求 1.教案是教师以课次为单位编写的教学具体方案,是上课的重要依据,是保证教学质量的必要措施。 2.教案既不同于教学大纲,也不是教材的翻版。教案是实现教学大纲的具体细化并精心设计的授课框架。编写教案应以课程的教学大 纲为依据,在充分占有资料,深入钻研教材,了解学生基本情况,熟悉教学设施、条件的基础上,根据每门课程的内容和特点,结 合教师的教学经验和形成的教学风格,充分发挥教师个性、特点 和才华,编写出具有自身特色的教案。 3.教案中的“基本内容”部分是丰富和内化教案中的具体要求并实现这些设想的实质内容和书面台词(若另有详细的讲稿,此部分可 为提纲,即约案),要充分考虑如何实现教案中所要求达到的教学目的和效果。 4.教案一般以每次课为一编制单位。 5.教案安排的课次、时间、主要教学方法、教学场所应与教学进度表

相一致。 二、教案参考格式 第次课学时授课时间 第页

(注:根据需要可多页)第页 三、说明 1.“教学目的与要求”一般分为了解、理解和掌握三个层次。根据教学大纲确定本次课相应的目的和要求,根据具体需要,还可以增加“识记”,

如识记某些重要的概念、公式、定理、结论等。 2.“教学重点”一般为1-2个,也就是要求学生掌握的内容。属于重点的教学内容,应该在教案和教学中体现出来。如:在教案中所占的篇幅应相对较多,讲授时所花的时间最多,投入的精力最大,采用的方法最为恰当,等等。这就是我们平时所说的“突出重点”。 3.“教学难点”也就是本次课教学中学生最难理解和掌握的内容。属于难点的教学内容,也应该在教案和教学中体现出来。如:在教案中应体现出方法的针对性、灵活性和有效性,在讲授时所花的时间相对较多,投入的精力相对较大。 4.“时间分配”是指完成本次课各部分所需的大致时间。 5.“教学方法及师生互动设计”。常用的教学方法一般有讲授法、谈话法(提问、问答)、案例分析法、演示法、实验法、参观法、练习法等。虽说“教学有法,但无定法”,但本次课教学准备常用哪种(或哪些)主要方法,应该在教案中体现出来。为了提高课堂教学效果,提高学生学习的积极性、建议设计师生互动环节。 课堂上应有一定时间检查学生作业和学习情况,给学生表达思想展示成果的机会。 6.“作业”:给学生布置作业是教学工作的一项基本环节,目的是帮助学生进一步消化和巩固课堂所学的知识,掌握相应的技能,养成运用知识的能力和习惯。作业分建议性的和规定性的。作业的类型主要有:阅读参考书和专业文献;背诵需要熟记的定义、公式或词句等;熟记有关事实、概念、定理、公式和法则;演算习题,解答问题,完成作文或实

裘锡圭《文字学概要》复习大纲

《文字学概要》复习资料 一、文字形成的过程 1.文字的定义 狭义文字:文字是纪录语言的符号。(本书采用的立场) 广义文字:具有信息传递功能的表示一定意义的图画和符号 2.汉语“文字”的所指 文字个体——指一个个的字 文字体系——用来指记录某种语言的文字符号的整个体系。 3.文字形成的过程 前文字阶段 文字画:具有文字性质或功能的图画——在文字产生之前,人们曾经用画图画和作图解的办法来记事或传递信息,通常把这种图画和图解称为文字画或图画文字。 图画文字(按照文字的狭义用法来看这个名称不恰当) 表示数量的符号与数字的区别P2:四道线或四个点,可能在某一场合代表四天时间,在另一场合代表四个人,在某一场合代表四头鹿,它们所代表的对象不确定——记数符号 亖鹿——数字 独立创造文字体系形成的描绘:只能勾勒一个粗线条的轮廓:如方(口) ◆文字图画混合使用的原始阶段(古老文字必经的阶段)——即文字和图画长期混在一起使用 最大特点是表意手法的残存──表意字随语言环境改变字形,文字排列方式与词序的关系 文字体系的形成过程 表意字(记号字)-------象形字--------假借字P4------------形声字 4、表意字 a最先产生的文字是表意字_意义难以用一般的象形方法产生 (数词、虚词、形容词、简单图画无法表现的具体事物) b文字画阶段就有表意方法:象征等曲折表达的方法 c.表意字和抽象表意图画的本质区别 d记号字以及表意字和记号字的局限 (古汉字中区别一形多用的表意字的方法有二:一上表意字符上加声符,二是字形分化) 直接用意符和音符组成形声字(后期) 5、假借字 a产生直接原因:克服记号字和表意字局限性造成的困难~表音 b假借的道理是谐音──谐音在文字产生之前就已经在语言中广泛存在了 c表意和假借这两种造字方法的产生在时间上的关系──几乎是同时发展起来的 d文字形成过程正式开始的标志:表意字和假借字的出现 e假借造字法的优点和劣势 优点:适应性强+提高了文字记录语言的能力

新媒体写作范文

新媒体写作范文 新媒体写作培训新媒体文本写作技巧及方法 【--培训工作总结】 新媒体是一种新的媒体形态,其呈现信息的方式不同于传统媒体,尤其是新媒体用户浏览新闻的习惯发生了很大改变,因此,新媒体新闻文本写作的方法和技巧也随之发生改变。下面,wtt为大家分享新媒体文本写作技巧及方法,希望对大家有所帮助! 内容提要突出关键词 新媒体用户点开网站主页的相关标题进入正文后,会在正文标题下面看到一小段话,一般100字左右或更短,这就是内容提要。有一些网站的内容提要直接放在主页新闻标题下面,例如英国bbc网站;还有的网站采用弹出式标签技术,当鼠标滑过某条新闻标题时弹出文字框显示该条新闻提要,移动鼠标后文字框自动消失。内容提要的作用是解释或补充标题的内容,报告新闻事件最新的动态,文字要简练,尤其要注意突出关键词。关键词是一个文本中的核心词语,揭示稿件最核心的内容,以吸引用户,提高文本的检索率和利用率。一般来说,关键词包含在新闻5w中,关键词的数量不宜过多,三至五个即可。 正文:语言简洁,内容平实

1.简洁。新媒体新闻文本一般保持一个屏幕长最好,最长不要超过两个屏幕;每句话要简洁,一般不超过20个字,段落简短。 2.语言平实。文本用最简单、准确的文字告知信息即可,不需要大段大段的抒情议论,要避免花哨难懂的词汇,多采用通俗平实的文字。记住用户浏览新闻是为了获取信息,而不是追求文学性。 3.一段表达一个意思。用户在阅读新媒体新闻文本时大多是跳跃式阅读,因此,文本最好一个段落表达一个完整的意思,便于用户搜寻式阅读和跳跃式阅读。 4.多用小标题。过长的新媒体新闻文本要善于用小标题将文本分成几块,便于用户搜索和选择信息阅读。 5.重要信息优先原则。文本要将最重要的新闻要素置于最前面,无论是整个文本写作还是文本中的某一个段落写作,都要遵循重要信息优先的原则。新媒体用户绝对不喜欢在文字堆里艰难跋涉,他们希望在开头就能找到想要的信息,这与传统新闻写作中要求的“倒金字塔结构”完全一致。 注重事件背景介绍 新媒体新闻文本写作中背景使用非常重要,有时候用户对背景信息的关注高于新闻本身。文本中多运用事件背景可以让用户对新闻的了解更全面、更深入,也有利于用户围绕新闻获取拓展性信息。并非所有事件背景都要写进文本,要选择能说明问题、

讲稿和教案的区别

讲稿和教案的区别 讲稿,有的教师也叫备课笔记。它属于教师备课工作的文字结果之一,是个人一种最基本的教学文件。讲稿一般以课程教学大纲确定的教材为基本线索,按篇、章、节逐一书写,但它决不是教材本身的全文照录,而是根据教学对象、教学时数、经过适当梳理确定的基本教学内容。从这个意义上说,讲稿有点像一本简化了的讲义。当然,讲稿在教学内容的取舍、讲法、编排顺序上也不一定完全拘泥于原教材的格局;也就是说,教材上的内容不是必须纳入讲稿,而教材以外的内容也不是不能纳入讲稿。另外,当原教材存在某些缺陷时,还应遵循科学的原理作必要的纠正,记录在讲稿上,适时告诉学生,如某些概念的偏差和某些数据的偏差。可见,讲稿是经过教师本人多方学习、深刻理解教材后确定的教学内容,涵盖较广,文字较多。有同志形容讲稿是个人讲课的资料库,这个比喻很好。 教案,按字面可理解为授课的方案。它大致包含以下要素:学科名称、授课时间、授课题目、教学目的、授课类型、重点、难点、教具、教学进程和教学方法。 教学进程和教学方法是教案的关键,因为在这一部分,要写出教学内容的安排和时间分配,还要写出教学方法的具体运用,尤其对如何突出重点,分解难点,都应有一定的说明和交待;另外,对板书布置、例子选择、教具

展示、作业数量,以及如何引导、教育学生等等,都要作出考虑。 归结起来,讲稿与教案的主要区别在于:讲稿侧重教学内容上的选择与撰写,而教案则偏于教学方法、教学安排、教学效果上的设想与构思。倘若从影视艺术的角度打比方,讲稿类似于剧本,而教案则犹如策划,像导演的分镜头脚本。 讲稿和教案,其实是密不可分的。因为一定的教学内容,必须通过恰当的方法和形式,才能收到较好的效果。为了达到良好的教学效果.实现内容与形式的统一,既要有讲稿,又要有教案。 讲稿与教案的区别 1.教案是依据教学内容、教学方法、教学对象、教学设施等特点,以课题为单位对教学进程进行的设计组织,它所承载的基本是课堂教学的组织管理信息,涉及的是组织性项目,而讲稿主要是依据教学大纲对教学内容进行的阐述,它所承载的基本是知识信息,涉及的是知识性项目。 2.教案的思路形成,主要受教学过程的管理逻辑支配,而讲稿的思路形成,主要受教学过程的知识逻辑支配。 3.教案形成的基础和前提必须是科学性与思想性兼

《演讲》教案全面版

《演讲》教案 教学目标: 1.理解什么是演讲,把握演讲的要求。 2.培养学生语言流畅、主题明确、感情真挚地进行演讲的能力。 3.培养学生正确的人生观、价值观以及自信的态度和勇气。 教学重点: 培养学生在演讲中自然流畅、清楚明白、感情真挚地表达自己的观点、看法和感情。 教学难点: 培养学生用自己的眼光去看待社会和人生,有自己正确的见解和立场。 教法:情景模拟法、案例分析法 学法:分组讨论法 教学过程: 课前准备 1.提前预习《演讲》。 2.准备以“感恩”为主题的演讲稿。 3.制作好教学课件。 4.全班分成四个小组,确立出评委。 5.组织一名摄影小记者、三名文字小记者,借好摄像设备。 一、导入新课: 1.学生朗读生活情境。 2.教师导入本节内容:同学们,你有过当众发言时吞吞吐吐的尴尬吗?你有过面试时两脚发抖的紧张吗?记得西方国家有人这样说:“世界上有两样东西最令人害怕,一个是原子弹——另一个是什么?(可让同学们七嘴八舌地回答)另一样就是演讲!演讲,真的那么令人害怕吗?今天我们就来学习一下演讲吧。 二、精彩赏析,借鉴学习: (一)同学们已经进行了预习,请快速回答下列问题。 1.演讲的定义 演讲,又称讲演、演说,是指对听众讲述有关某一事物的知识或对某一问题

阐述见解的口语交际形式。 2.演讲的特点:针对性、鼓动性和艺术性 3.演讲的基本要求: (1)学生快速浏览文本,整体把握演讲的基本要求,然后师提问:演讲的基本要求有哪些? ①主题明确,内容充实 ②感情充沛,张弛有度 ③神情自信,仪表端庄 ④语言丰富,表达流利 (二)下面是几段精彩的演讲,大家思考,这几段演讲的精彩之处在哪里,体现了哪些演讲的技巧? 1、案例一 在华盛顿的某个口才训练班里有位福林先生,他刚参加训练时,从一家报社所发行的一本小册子里仓促且大略地搜集了一些关于美国首都的资料,然后向众人演讲。他虽然在华盛顿住了许多年,却没能举出一个亲身的经历来说明自己为什么会喜欢这个地方,只是一味陈述着这个城市的妥善建设,所以听起来就让人感到枯燥、生硬,大家听得不耐烦,他自己也讲得痛苦。 出人意料,在两星期后发生了一件事情:他的新车停放在街上,竟有人开车把它撞个稀烂,并且逃逸无踪。这可把福林先生害惨了,这件事是他切身的经验,所以当他说起这辆撞的稀烂的汽车时,异常激动,说起话来滔滔不绝,怒气冲天,好像维苏威火山在眼前爆发了。两星期前,同学们听他的演讲时还觉得烦躁无聊,时常在椅子上扭动,现在却给了福林先生热烈的掌声。 思考:为什么前后两个星期,听众的反应会如此不同?福林先生学到任何独特的演讲技巧了吗? 师生讨论分析:福林先生第二个周的演讲之所以会赢得观众的热烈掌声,最关键的一条是他讲了自己的亲身经历,内容清楚,感受深刻,这是他熟悉的主题,自然而然,语言就充满了热度,听众很容易就被感染。著名的演讲家卡耐基先生认为,谈论熟悉而确信的主题,主题明确,内容充实,是精彩演讲的一个重要条件,如果只是罗列口号或资料,很难引起听众兴趣。

进阶中考2020章节复习42光的反射物理精选练习题

章节复习(4.2光的反射)物理精选练习 “”1的光.图甲是小明春游时看到的美景,图乙中能正确反映他看到水中树木路图是 AB.. DC .. 2《墨经》中记载了影子的形成、平面镜的反射等光学问题.图中.战国时期,的光学现象与影子的形成原理相同的是 A湖中倒 影.

B日食现 象. C 海市蜃 楼. D雨后彩虹. 3.晚上,小昆在自己的家庭实验室探究光的反射现象,他把一小平面镜粘在,下列有关说法正确的是白纸上,用手电筒照射白纸板和平面镜(如图所示) A.白纸看上去很暗,因为白纸发生漫反射B.白纸看上去很亮,因为白纸发生镜面反射C.镜子看上去很暗,因为镜子发生漫反射D.镜子看上去很暗,因为镜子发生镜面反射 “4云在水.暑假小明去五台山旅游,站在清澈的湖边,望向平静的水面,看到)”(.这些景象中距离水面最远的是如图中飘,鱼在云上游,鱼戏白塔绿树间) ( B A.游动的鱼.白云倒影D C.绿树倒影.白塔倒影. 5.如图,若要让反射光线射中目标,在平面镜不动的情况下,可将激光笔

①入射点位置不变,逆时针转一定的角度;②入射点位置不变,顺时针转动一定的角度;③入射角不变,但向左平移一段距离;入射角不变,但向右平移一段距离④)可行的办法是(A①③.B①④.C.②③D.②④ 6.小明想利用一块平面镜使射向井口的太阳光竖直射入井中,如图所示,图其中作图过程正确中的数字符号表示的是确定平面镜位置时作图的先后次序,)(的是 AB .. DC .. 7).下列光现象与日食的形成原因不同的是 (

D B C A.水中倒影.手影游戏.树下阴影.小孔成像 8树.如图是小明春游时在水边看到的美景,下图中能正确反映他看到水中“木”的光路图是() A B..

光的反射定律

在光的世界里 光的传播光的反射定律 时间:90分钟总分100分 A·课程标准检测(60分) 一.精心选一选(3分×10=30分) 1.下列现象中不能用光的直线传播来解释或与光的直线传播无关的是() A.日食、月食的形成 B.观看皮影戏 C.打雷时会听到雷声不断轰鸣 D.针孔照相机应用的是小孔成像原理 2.下列关于光的传播的说法中正确的是() A.光在真空中不能传播 B.光也象声音一样,传播需要介质 C.光在空气中的传播速度最大 D.打雷时,先看到闪电再听到雷声是因为光的传播比声音的传播快得多 3.当太阳、水星、地球运行到一条直线上时,在地球上可以观察到太阳上有一个小黑斑在缓慢移动,这种现象称为“水星凌日”,是难得的天文奇观。下列关于该现象说法正确的是() A.小黑斑是水星在太阳上形成的影子

B.小黑斑是由于水星挡住了太阳射向地球的一部分光而形成的C.小黑斑是水星在太阳上形成的像 D.小黑斑是太阳在地球上的像 4.如下短句或词语涉及到的知识与光的直线传播无关的是()A.立竿见影 B.一叶障目 C.鱼翔浅底 D.三点对一线 5.如下说法中不正确的是() A.入射角是0°时,反射角也是0° B.入射角增加15°时,反射角也增加15° C.入射光线向法线靠拢,反射光线也靠拢法线 D.入射光线与反射面垂直时,反射角为90° 6.电视机的遥控器能够发射出一种人眼看不见的光——红外线.把遥控器的红外线发射窗对着电视机的红外线接收窗,分别按不同的键,就能控制电视机进行选台、调节音量、改变色彩浓淡等等.但有时把遥控器的红外线发射窗对着电视机对面、侧面的墙壁和天花板等,也能控制电视机,这是利用了光的() A.直线传播现象 B.反射现象 C.折射现象 D.色散现象 7.下列各成语中,属于光的反射的是() A.镜花水月 B.坐井观天 C.海市蜃楼 D.立竿见影 8.若要使眼睛看到一个物体,则()

教案讲稿讲义的区别

教案、讲稿、讲义的区别与编写 一、关于教案、讲稿、讲义的内涵 教案:是依据教学日历的进度要求,为完成教学大纲所规定的教学任务而准备的教学工作计划,是教师以课时为单位编写的供教学用的实施方案。教学方案是落实教育思想、教学方法、教学手段和考试方法改革的具体措施,是指导具体教学实践的重要依据。一般应包括以下内容:授课的题目(教学章、节标题)、教学目标、教学的重点与难点、授课的方式、方法和手段、教学的基本内容、课后小结、作业、讨论、辅导答疑等课后延伸、参考资料。 讲稿:也叫讲课稿,是对全部讲授内容的具体组织和表达,是讲授内容的文字描述,要求尽可能详细、全面。讲稿可以摘录教材,但不能是教材的翻版。教师在编写讲稿时,可根据学生的层次、专业、知识面、知识的连续性对教材内容进行必要的增删,同时应加进学科前沿的知识。 讲义:为讲课教师自己编写的未正式出版的、可供学生使用的教材。 二、教案和讲稿的区别 1、二者的关系 教案和讲稿均是教师教学思维与教材结合的具体化过程,是教师课堂教学的依据,反映教师讲授的内容和不同特色。 2、二者的区别教案和讲稿的区别: (1)教案的基本内容体现的是教学的组织管理信息,讲稿的基本内容体现的是教学的知识信息;即教案解决的是“怎么教”的问题,讲稿解决的是“教什么”的问题。 (2)教案是骨架,讲稿是血肉,二者是决定与被决定的关系。 (3)在表现形式上,教案字少,显得简单,讲稿篇幅则较长。 三、教案和讲稿的编写 1.教案的编写 (1)教案编写要根据教学大纲、教材和教学进度表来编写,通过教案的基本构成要素来体现授课的详细实施步骤和主要内容。 (2)撰写教案首先要钻研教学大纲和教材,弄清本课程的教学目的、具体章节的具体内容和要求,了解课程体系、结构、重点章节以及各章节的重点、难点。其次要注意广泛阅读本门课程的相关资料,了解本学科、专业发展的发展方向,对课程有关内容作必要的补充。第三,要了解教育对象的知识结构、理解能力,对讲授内容进行合理安排和设计。 (3)教案是授课教师教学思想、教学方法和教学水平的重要体现之一,它反映教师的自身素质、

沪科版42光的反射课时教案

沪科版《4.2光的反射》课时教案 时间:年月日教学目标:①了解光在一些物体表面可以发生反射。 ②认识光反射的规律,了解法线、入射光线和反射光线及入射角、反射角的含 义; ③理解反射现象中光路的可逆性。 ④了解什么是镜面反射,什么是漫反射。 教学重点:光的反射定律。 教学难点:光的反射的应用、入射角、反射角的理解。 教学方法:实验教学 活动资料:光源(激光手电筒)、平面镜、纸板、烟雾箱。 教学过程: 教学环节内容、步骤 师生互动策划备注 (活动目 的)教师活动学生活动 情景引入问题:我们为什么能够看见发 光的物体? 进一步引入:我们为什么 能够看见不发光的物体呢? 学生简单讨论后总结出: 物体发出的光进入了我们的 眼睛,不发光的物体是反射光 源的光进入了我们的眼睛。 凡是不发光的物体表面都 能反射光。 ⒈学生讨论,作出回答 ⒉观察发光的日光灯、白炽 灯,印证这个科学事实。 激发学生的 好奇,激发 探究的热情 光的反射规律⒈板书:光的反射 ⒉组织学生探究“光的发射定 律”(向学生介绍实验装置: 光源、平面镜、白纸板光屏。 说明各部分的作用。) 学生探究时教师介绍:入 射光线、反射光线、法线、入 射角、反射角的概念。 结论:在反射现象中,反射 角等于入射角。 补充:反射光线、入射光线 和法线在同一平面内;反射光 ⒈学生按课本图4-15实验, 如实记录。(以300、450、 600 入射角射向平面镜,记录反 射光线和入射光线的位置; 量出每次的反射光线、入射 光线与法线的夹角,记录在 表格中。 ⒉分析表中数据,你能得出 什么结论? ⒊阅读反射定律的内容。 让学生理解 反射定律。

光的反射规律

光的反射规律 光遇到水面、玻璃以及其他许多物体的表面都会发生反射(reflection)。垂直于镜面的直线叫做法线;入射光线与法线的夹角叫做入射角;反射光线与法线的夹角叫做反射角。在反射现象中,反射光线,入射光线和法线都在同一个平面内(反射光线在入射光线合法想做决定的平面内);反射光线、入射光线分居法线两侧;反射角等于入射角。这就是光的反射定律(reflection law)。在反射现象中,光路是可逆的。反射光线的反向延长线经过像点。 光的反射基本概念 光的反射 光的反射 光的反射:光在两种物质分界面上改变传播方向又返回原来物质中的现象,叫做光的反射。理解光的反射定律 归纳 1在反射现象中,反射光线,入射光线和法线都在同一个平面内。(同平面)2反射光线,入射光线分居法线两侧(居两侧)3反射角等于入射角(角相等)可归纳为:“三线共面,两线分居,角相等” 4在反射现象中,光路是可逆的 光的反射 相对论 简单介绍 1.共面法线是反射光线与入射光线的角平分线所在的直线。 2. 异侧入射光线与

反射面的夹角和入射角的和为90° 3. 等角反射角=入射角。反射角随入射角的增大而增大,减小而减小。 4. 可逆光路是可逆的如图1(甲)中光线BO逆着原来的反射光线(图乙)的方向射到界面上,这时的反射光线OA定会逆着原来的入射光线AO的方向射出去。 5. 根据光的反射定律作光路图 做法 具体作法:先找出入射点,过入射点作垂直于界面的法线,则反射光线与入射光线的夹角的角平分线即为法线。若是确定某一条入射光线所对应的反射光线,则由入射光线、法线确定入射角的大小及反射光线所在的平面,再根据光的反射定律中反射光线位于法线的另一侧,反射角等于入射角的特点,确定反射光线。 反射概念 6. 镜面反射与漫反射镜面反射:平行光线射到光滑表面上时反射光线也是平行的,这种反射叫做镜面反射。漫反射:平行光线射到凹凸不平的表面上,反射光线射向各个方向,这种反射叫做漫反射。镜面反射和漫反射都是遵循光的反射定律 7. 反射的知识 平面镜反射的研究 性质 光线另一个重要的性质是反射。我国古代在这方面具有丰富的知识,在许多实际问题上都反映出来。对人类来说,光的最大规模的反射现象,发生在月球上。我们知道,月球本身是不发光的,它只是反射太阳的光。相传为记载夏、商、周三代史实的《书经》中就提起过这件事。可见那个时候,人们就已有了光的反射观念。战国时的著作《周髀》里就明确指出:“日照月,月光乃生,成明月。”西汉时人们干脆说“月如镜体”,可见对光的反射现象有了深一层的认识。《墨经》里专门记载一个光的反射实验:以镜子把日光反射到人体上,可使人体的影子处于人体和太阳之间。这不但是演示了光的反射现象,而且很可能是以此解释月魄的成因。 成像 平面镜成像,就是光线反射的结果。我国古代在这方面是很有创造性的。最早的时候,人们用静止的水面作为光的反射面,当作镜子使用(图十二),这镜子叫做“监”。西周金文里的“监”字写起来很像一个人弯着腰向盛有水的盘子里照自己的像。这说明在三四千年前,就盛行着利用水面反射成像的方法。到了明清时代,一些穷苦人家也还使用着“水镜”。《儒林外史》里写的胡屠户,不是要他那个官热太盛的女婿范进,去撒尿照照自己的形容吗?这话虽不大雅,但还是一种水镜的遗制,胡屠户决不是发明者。到了周代中期,随着冶炼工艺的进步,才渐渐以金属反射面代替水镜,这才在“监”字的边旁加以“金”,成了“鉴”或“鉴”,就是现在大量出土的所谓铜镜了。至于玻璃(反射)镜,那就更晚了。 墨经 关于平面镜反射成像规律的研究,在周代后期就在进行了。《墨经》中就指出:平面镜成的像只有一个;像的形状、颜色、远近、正倒,都全同于物体。它还指出:物体向镜面移近,像也向镜面移近,物体远了,像也远了,有对称关系。这个总结是完全正确的。

新媒体宣传方案教学文稿

目录 第一章公司简介 (3) 第二章概述 (3) 1、宣传核心 (3) 2、宣传方式 (3) ●门户网站 (3) ●微信公众号 (4) ●杂志内刊 (4) 3、宣传素材 (4) 4、驻场人员配置 (5) 第三章******单位门户网站建设方案 (5) 1、网站建设原则 (5) 2、门户网站版面设计 (6) ●******单位............................................................... 错误!未定义书签。 ●民生工程 (6) ●旧城风采 (6) ●投资合作 (7) 3、门户网站首页内容设计 (7) ●企业动态 (7) ●工程动态 (7) ●疑问解答 (7) 4、******单位开发投资集团门户网站设计结构图 (9) 第四章******单位微信公众号建设方案 (10) 1、微信公众平台定位 (10) 2、发展微信公众平台的目的 (10) 3、微信公众平台功能 (10) 4、微信公众平台栏目板块 (10) ●旧城画卷板块 (10) ●旧城民生板块 (10) ●旧城风情板块 (10) ●旧城门户板块 (11) 5、微信公众平台内容构想 (11) ●旧城画卷内容设计 (11) ●旧城民生内容设计 (11) ●旧城风情内容设计 (11)

●旧城门户内容设计 (12) 6、微信公众平台优势 (12) 第五章******单位开发投资集团企业刊物策划案 (12) 1、创刊宗旨 (12) 2、创刊目的 (13) 3、刊物定位 (13) 4、办刊策略及具体实施方案 (13) ●办刊策略 (13) ●栏目安排及定位 (13) ●栏目内容构想 (14) 6、基本策划 (16) 7、稿源渠道 (17) 第六章网站、微信、杂志运营 (17) 1、素材获取 (17) 2、稿件发布报审 (18) ●门户网站宣传资料发布报审 (18) ●微信公众号宣传素材发布报审 (19)

【八年级】八年级物理上册42光的反射一教案新版新人教版

【关键字】八年级 4.2光的反射(一) ●教学目标: 1知识和技能:知道光源,掌握光的反射定律及相应的实验技能。 2过程和方法:通过探究实验总结光的反射定律。 3情感、态度、价值观:在探究反射规律的过程中培养学生的科学态度。 ●重点和难点: 1重点:探究光的反射定律。 2难点:掌握实验的技能。 ●教学器材: 方形玻璃水槽、激光笔、牛奶、硬纸板、镜子、 自制的有法线的量角器(用纸画好拿去过塑即可)。 ●教学过程: 一新课导入 我们能看到物体是因为油光进入我们的眼睛。太阳、电灯、蜡烛等物体发出的光会射到我们的眼睛里,我们就能看见这些物体了,许多物体本身不会发光,我们也能看见这是为什么呢? 光→人眼(光的接收器) 直接发光的物体→光源 间接发光的物体→本身不发光的物体 (备注:光在任何物体的表面都会发生反射。) 二新课教学 (一)自主学习,思考下列问题: 1什么是光的反射? 2 什么是入射光线、反射光线、入射点、入射角、反射角? 3 入射光线、反射光线、法线是否在同一平面内? 4 入射光线和反射光能能在法线同侧吗? 5 反射角和入射角的大小关系如何? (二)合作探究:小组交流与讨论上述问题,教师巡视。 (三)知识梳理: 1光的反射现象:光射到物体表面时传播方向发生改变的现象。 2几个基本概念(一点二角三线)。 (1)入射光线AO (2)入射点O:入射光线与反射面的交点。 (3)反射光线OB。 (4)法线:过O点作反射面的垂线ON。 (5)入射角∠i:入射光线与法线的夹角。 (6)反射角∠r:反射光线与法线的夹角。 提问:引入入射角∠i及反射角∠r的意义是什么呢? 学生讨论:(可能情境:甲认为,数学题常用“东偏南20°”来表示方向,所以入射角∠i 及反射角∠r是表示光线传播方向的;乙认为,甲的观点是正确的,因为地理上也是用角度来表示方向的。) 3探究光反射时的规律。 (1)提出问题:光在反射时遵循什么规律? 即:入射光线、反射光线、法线是否在同一平面内?入射光线和 反射光能能在法线同侧吗?反射角和入射角的大小关系如何? (2)设计实验和进行实验: ①如图所示,把一个平面镜放在桌上,再把一张纸板竖直地立在平 面镜上,纸板上的直线ON垂直于镜面。②一束光贴着纸板沿某一角

新媒体文本写作技巧及方法

新媒体文本写作技巧及方法 新媒体是一种新的媒体形态,其呈现信息的方式不同于传统媒体,尤其是新媒体用户浏览新闻的习惯发生了很大改变,因此,新媒体新闻文本写作的方法和技巧也随之发生改变。下面,为大家分享新媒体文本写作技巧及方法,希望对大家有所帮助! 内容提要突出关键词 新媒体用户点开网站主页的相关标题进入正文后,会在正文标题下面看到一小段话,一般100字左右或更短,这就是内容提要。有一些网站的内容提要直接放在主页新闻标题下面,例如英国BBC网站;还有的网站采用弹出式标签技术,当鼠标滑过某条新闻标题时弹出文字框显示该条新闻提要,移动鼠标后文字框自动消失。内容提要的作用是解释或补充标题的内容,报告新闻事件最新的动态,文字要简练,尤其要注意突出关键词。关键词是一个文本中的核心词语,揭示稿件最核心的内容,以吸引用户,提高文本的检索率和利用率。一般来说,关键词包含在新闻5W中,关键词的数量不宜过多,三至五个即可。 正文:语言简洁,内容平实 1.简洁。新媒体新闻文本一般保持一个屏幕长最好,最长不要超过两个屏幕;每句话要简洁,一般不超过20个字,段落简短。

2.语言平实。文本用最简单、准确的文字告知信息即可,不需要大段大段的抒情议论,要避免花哨难懂的词汇,多采用通俗平实的文字。记住用户浏览新闻是为了获取信息,而不是追求文学性。 3.一段表达一个意思。用户在阅读新媒体新闻文本时大多是跳跃式阅读,因此,文本最好一个段落表达一个完整的意思,便于用户搜寻式阅读和跳跃式阅读。 4.多用小标题。过长的新媒体新闻文本要善于用小标题将文本分成几块,便于用户搜索和选择信息阅读。 5.重要信息优先原则。文本要将最重要的新闻要素置于最前面,无论是整个文本写作还是文本中的某一个段落写作,都要遵循重要信息优先的原则。新媒体用户绝对不喜欢在文字堆里艰难跋涉,他们希望在开头就能找到想要的信息,这与传统新闻写作中要求的“倒金字塔结构”完全一致。 注重事件背景介绍 新媒体新闻文本写作中背景使用非常重要,有时候用户对背景信息的关注高于新闻本身。文本中多运用事件背景可以让用户对新闻的了解更全面、更深入,也有利于用户围绕新闻获取拓展性信息。并非所有事件背景都要写进文本,要选择能说明问题、紧扣报道主题、用户有兴趣了解的事件背景,注意背景的广泛性和多样性。

最新演讲稿教案

演讲及演讲稿的写作 【教学目的】 1.了解演讲的本质,明确演讲的特征、种类。 2.明确演讲稿的概念及特点。 3、掌握演讲稿的写作知识。 4、培养撰写演讲稿的能力。 【教学重点】演讲的本质、特征与演讲稿的写作 【教学方法】:讲授、讨论、编写阅读提纲法。 【教学时间】两课时 【教学过程】 一、激趣导入 1、谈话:曾经有过这样的记载,美国有人对3000名市民进行了一次心理测验,题目是“你最怕什么?”测验的结果出人意料,死亡并不是人们最可怕的事情,它被排在第六位,而排在第一位的是——当众演讲! 的确,当众演讲需要极大的勇气和胆识。不少同学在伙伴、亲朋好友面前有说有笑,像个“话匣子”,可是,一旦站在演讲台上,面对一片黑压压的人头和一双双眼睛,就“葫芦有货倒不出来”了,脸红心跳不说,连说话都不连贯了。表现得极为紧张、恐惧、害羞和自卑。 实际上,我们只要了解了演讲的技巧,做好了演讲前的准备工作,就会对自己充满信心,顺利完成演讲。正如美国著名的演讲教育家卡耐基说的:“世界上没有什么天才演讲家。只要你能遵循一些简单却又十分重要的规则,当众演讲就会变得轻而易举。” 那么,演讲究竟是怎样回事,有哪些规则?如何撰写演讲稿呢?今天,我们就来了解有关演讲及演讲稿的撰写的知识。 2、揭题、板书——演讲及演讲稿的写作 二、引导学生了解演讲的本质与特征、种类 (一)联系实际说一说:什么是演讲?演讲的目的是什么? 1、交流、明确演讲的本质(含义、要素、手段) ☆演讲——(是指就某些问题、事件面对广大听众发表自己见解的讲话。)是指演讲者在特定场合(时间、空间和情境),面向听众,凭借自己的口才,运用有声语言和态势语言的艺术手段,阐明道理、抒发感情、发表个人见解,从而使听众受到感召的一种现实的社会语言交流活动。 ☆演讲必须具备的条件(要素)

《古汉语文字学概要》期末作业

《古汉语文字学概要》期末作业答案 下编古文字学 第一章甲骨文 习题解析 一、填空:一、填空: 1、《铁云藏龟》是一部甲骨文著录书,作者是清代刘鹗;《殷墟文字甲编》和《殷墟文字乙编》的编者是董作宾,《卜辞通纂》的著者是郭沫若。 2、甲骨学先驱期的代表人物有王懿荣、刘鹗、孙诒让,奠基时期的代表人物有罗振玉、王国维,形成时期的代表人物有董作宾、郭沫若、唐兰,低潮时期的代表人物有董作宾、于省吾,高潮时期的代表人物有陈梦家、李学勤,停止时期的代表人物有裘锡圭、张政烺,繁荣时期的代表人物有李学勤、裘锡圭。 二、解释下列名词术语。 1、殷墟:商王朝晚期都城的遗址,是殷商甲骨文的出土地,在今天河南省安阳市西北小屯村一带。 2、甲骨文:殷周时期刻在龟甲和兽骨上的文字,是最古老的汉字。其中商代的甲骨文记载了商代晚期270多年间的占卜、祭祀、战争、农业、畜牧、渔猎等社会活动,是研究商代社会的重要材料。 3、王懿荣:清末山东福山人,金石学家,发现甲骨文的第一人。 4、刘鹗:清末江苏丹徒人,字铁云,《老残游记》的作者,是第一部甲骨文著录书《铁云藏龟》的编者。 5、孙诒让:清末浙江瑞安人,国学大家、教育学家,第一个对甲骨文进行考释工作的人,著作《契文举例》。 6、罗振玉:清末江苏山阳人,著名学者,在保存整理古籍、确定甲骨文出土地以及考释甲骨文等方面做出了重要贡献。 三、董作宾甲骨文断代学说的具体内容是什么? 董氏根据世系、称谓、贞人、坑位、方国、人物、事类、文法、字形、字体等十项标准 四、罗振玉、王国维、唐兰、陈梦家在甲骨文研究方面的著作各有哪些?

罗振玉的著作有《殷墟书契》《殷墟书契菁华》《殷墟书契后编》《殷墟书契考释》等。 王国维的著作有《戬寿堂所藏殷墟文字考释》《殷卜辞中所见先公先王考》《续考》(论文)等。 唐兰的著作有《殷虚文字记》《天壤阁甲骨文存考释》等。 陈梦家的著作有《甲骨断代学》(论文)《殷虚卜辞综述》等。 五、简述甲骨文的发现及研究概况。 甲骨文于1899年被发现,第一个发现甲骨文的学者是金石学家王懿荣。刘鹗第一个将收集到的甲骨片选拓著录成《铁云藏龟》一书。孙贻让研读《铁云藏龟》,写出了第一部考释甲骨文的著作《契文举例》。罗振玉经过多年调查,发现了甲骨文的真正出土地安阳小屯村,同时对甲骨文作了大量的收集整理和考释工作。王国维对甲骨文的考释做出了重要贡献,他根据甲骨文发现了商代晚期殷王的世系,证明了《史记·殷本纪》殷王世系的真实性,同时纠正了其中的错误。其后在郭沫若、董作宾、唐兰、于省吾、陈梦家、李学勤、裘锡圭等人的相继努力下,甲骨文在发掘、整理、考释、断代等方面都取得了重要进展,成为一门成熟的学科。 六、将下列作者与其相应的著作连线。 刘鹗契文举例 王国维卜辞通纂 郭沫若古文字学导论 孙诒让殷墟卜辞所见先公先王考 罗振玉甲骨文断代研究例 董作宾铁云藏龟 唐兰殷墟书契(前编)、殷墟书契后编 陈梦家殷墟卜辞综述 于省吾殷墟文字甲编 殷墟文字乙编 甲骨文合集 甲骨文字释林 两周金文辞大系 第二章殷周金文 习题解析 一、填空: 1、标准器断代法 2、《西周铜器断代》; 1、郭沫若创立的金文断代法是标准器断代法。 2、陈梦家有关金文断代的论文是《西周铜器断代》,该文将西周12王分为武王铜器、成王铜器、成康铜器、康王铜器、昭王铜器、穆王铜器、共王铜器、懿王铜器、孝王铜器、夷王铜器、厉王铜器、宣王铜器、幽王铜器期? 二、解释词语: 1、金文:古代铜器上所铸、刻的文字,通常指殷、周、秦、汉铜器上的文字,又称“钟鼎文”、“吉金文字”等。 2、吉金:指鼎彝等古器物。“吉金文字”即铸、刻在鼎彝等古器物上的文字。“吉”是

2020八年级物理上册42光的反射练习题【含解析】

2020八年级物理上册4.2光的反射练习题(含解析)学校:___________姓名:___________班级:___________ 一.选择题(共15小题) 1.如图所示的光现象中,由于光的反射形成的是() A.手在屏幕上形成的手影 B.鸟巢在水中形成的倒影 C.人透过水球成的像 D.勺柄好像在水面处折断 2.如图所示的现象中,由光的反射形成的是() A.日食美景

B.天空彩虹 C.耀眼幕墙 D.林间光柱 3.图为观察微小形变的装置。平面镜M放置在水平桌面上,光源S发出一束激光射到镜面上,经反射后在标尺上形成光斑P.若在图示位置用力F向下挤压桌面,则() A.激光束的入射角增大反射角减小 B.激光束的入射角减小反射角增大 C.标尺上的光斑右移 D.标尺上的光斑左移 4.如图所示是探究平面镜成像特点的实验装置,关于该实验下列说法中错误的是() A.该实验应该选用较薄的玻璃板 B.该实验选用两个等大的蜡烛是为了比较像与物的大小 C.通过该实验得出的结论是像的大小随物距的变化而改变

D.实验中测量两只蜡烛到玻璃板的距离,是为了比较像与物体到镜面的距离 5.下列是观察对岸的树木在水中倒影的光路图,正确的是() A.B.C. D. 6.(2019?朝阳区二模)小阳想利用一块平面镜使射向井口的太阳光(入射光线)竖直射入井中,如图甲所示,他通过正确的作图方法标出了平面镜的位置,如图乙所示。下列关于小阳作图的先后顺序正确的是() A.平面镜、反射光线、法线B.反射光线、法线、平面镜 C.法线、反射光线、平面镜D.反射光线、平面镜、法线 7.城市里高楼大厦的玻璃幕墙在强烈的阳光下会选成“光污染”,给周围的居民造成干扰,产生这种“光污染”的原因是() A.玻璃幕墙会增强周围居民的采光 B.玻璃幕墙对太阳光形成了漫反射 C.玻璃幕墙可以增大周围居民的视野,对居民是有好处的 D.玻璃幕墙对太阳光形成了镜面反射 8.老师在黑板上的板书,有些座位上的同学看的清楚,而有些座位上的同学则看到一片亮光而看不清楚字,其原因是() A.黑板发生镜面反射造成的看不清楚 B.教室光线亮度不够造成的看不清楚 C.黑板发生漫反射造成的看不清楚

光的反射定律

光的反射定律 【教学目标】 1.知识与技能 (1)知道光在一些物体表面可以发生反射; (2)认识光的反射规律,了解法线、入射角、反射角的含义; (3)理解反射现象中光路的可逆性; (4)知道什么是镜面反射,什么是漫反射。 2.过程与方法 (1)通过实验,观察光的反射现象; (2)经历探究“光反射时的规律”,用实验的方法获得反射光线、入射光线和法线的位置,测出反射角与入射角,总结探究的结论,获得比较全面的探究活动的体验; (3)通过观察,理解镜面反射和漫反射的主要差异。 3.情感、态度与价值观 (1)在探究“光反射时的规律”过程中培养学生的科学态度; (2)密切联系实际,提高科学技术应用于日常生活和社会的意识; (3)鼓励学生积极参与探究活动。 【教学过程】 一、引入课题 太阳、电灯、蜡烛等物体发出的光会射到我们的眼睛里,我们就能看见这些物体了,许多不会发光的物体为什么也能被我们看见呢? 由于自然课的学习和学生自身的经验,学生很快答出:因为光的反射。 二、新课教学 1.什么是光的反射现象 光射到物体表面时传播方向发生改变的现象。 2.介绍几个基本概念(一点二角三线) 如图:a.入射光线AO;b.入射点O:入射光线与反射面的交点;c.反射光线OB;d.法线:过O点作反射面的垂线ON;e.入射角i:入射光线与法线的夹角;f.反射角r:反射光线与法线的夹角。 3.探究光反射时遵循的规律 提出问题: 光在反射时遵循什么规律?也就是说反射光线沿什么方向射出?它

与入射光线有什么关系? 设计实验和进行实验: (1)把一平面镜放在桌上,再把一张纸竖直地立在平面镜上,纸板上的直线ON 垂直于镜面。 (2)一束光(可以用激光笔获得)贴着纸板沿某一角度射到O,经平面镜的反射,沿另一个方向射出,在纸板上用笔描出入射光线和反射光线的径迹。 (3)改变光束的入射方向重做两次。 (4)取下纸板,用量角器测量ON 两侧的角i和r, 并将数据填入表 4.讨论 (1)分析讨论:关于光的反射,你发现了什么? 须明确:反射光线、入射光线在法线的两侧;反射角r与入射角i应该相等。 (2)怎样使纸板能稳定地竖直在平面镜上? 学生在讨论中提出多种方案,如在纸板后做一个支架,用纸盒的一个侧面,用泡沫包装盒,等等。 (3)怎样得到“一束光”? 有人首先提出用太阳光,但马上有人反对,认为太阳光不是“一束光”,又有学生想到前一节刚做的小孔照相机,其外筒可以利用了,通过外筒上带有小孔的黑纸蒙着手电筒,就得到“一束光”了。还有学生提出用激光笔,当然效果更好。(此情况属教学实录) (4)怎样画出光的迹径? 问题提出后,立即有学生想到,把光对着O点入射,分别沿着入射光线和反射光线描点A和B,用直尺连接OA与OB 即是入射光线和反射光线了。 备注:学生没有关于物理实验的经验,如果只看教科书中的内容,是很难完成实验的,所以必须引导学生对实验器材、实验步骤进行讨论,以培养学生设计实验、进行实验的能力。 (5)光的反射定律的应用 用平面镜改变光路的实例很多,如潜望镜、大楼里利用平面镜采光、测距离、自行车的尾灯等等。 5.光路的可逆性

新媒体新闻写作与制作

网络新闻业务与传统新闻业务1、新闻资源的全面利用2、层次化、网络化的新闻组织方式3、实时的文字报道4、多媒体采访与报道5、新闻报道中各种层次的互动 网络新闻编辑的四个层面1、网络新闻的选2、网络新闻的加工3、网络新闻的整合4、网络新闻的延伸 网络新闻编辑的角色特点1、网络新闻编辑的主动性与被定性2、网络新闻编辑工作的整合性和原创性3、把关人角色 网络新闻编辑的发展趋势1 、多媒体内容编辑的比重将不断上升2、网络新闻编辑分工不断细化3、网络新闻编辑新闻加工向高层次发展4、从面向单平台编辑向多平台编辑发展 网络新闻与传统新闻采集相同点是什么1对新闻价值的判断标准不变2对新闻工作基本原则的坚持不变 网络新闻采集的优势在哪里1、网络新闻作品的多层次写作2、全时与互动3、新闻资源的利用4、多媒体技术的利用 影响网络新闻采集的因素1、政策环境2、法律环境3、著作权法4、经济环境5、技术环境因素 对网络新闻真实性的判断1多源求证2内容分析3调查核实4技术判断 网站策划指1、根据现实的情况与信息,判断市场变化的趋势,针对未来网站建设做当前决策2、对网络媒体的规模、结构、形象进行整体设计3、预先决定做什么、何时做、如何做、谁来做。 网站策划解决的问题1网站战略发展策划2网站内容策划3网站形象策划4网站市场行销(如何提高访问量)5网站品牌形象推广6网站内部人员安排 网站策划的原则1指导原则2全面原则3个性原则4灵活性原则5迅速原则6团队原则 调查分析阶段1网站的服务对象2社会环境3竞争对手4自身条件分析 属于内容的构成要素1网页名称2标题3正文4图表5标语 一个网站的网页构成:主页(homepage)首页(frortpage)新闻文章页(news articles) 属于造型的构成要素1标识2文字3图标4插图5动画6背景7声音 网页编排设计原则1网页编排首要原则@主页以占用空间和带宽小的静态文本和小图片为主@避免占用空间大的背景、图表、视频、动画在主页上出现@建立合理的网站布局,链接点不要太多太杂2版面布局原则:统一性原则、均衡性原则、对比性原则、节奏性原则、视觉冲击中心 新闻网页设计注意原则1简化新闻信息的阅读路径2网页的设计应以功能为先3借鉴报纸版面编辑方法原则4利用新技术,方便网络新闻的打印 新闻栏目与内容的规划1依据新闻来源的特色2依据报道内容的特色3依据与其它媒体合作形成的特色4依据新闻延伸形成的特色5依据对传统新闻栏目分类的借陈出新 网页框架布局的设计1框架模式2文字的编排3字体的运用4网页设计中的色彩 主页的功能1对网站内容的介绍2引导浏览者到他们要去的地方3吸引网民的注意力 新闻网站的一般结构1分类新闻2新闻服务3多媒体新闻4地方性新闻网站 网络新闻标题的主要特点1题文分家2慎用长题3大多单行4宁实勿虚 网络新闻单行题的制作1、一句话题2两(三)句题、一条新闻3两句题两条新闻4标题+摘要4标题群 标题群:是围绕某个主题或事件,在一个大标题统率下,由多种传播符号(文字、图片、影像、声音等)构成、即时滚动播出多个存在相互关联的新闻小标题的集合标题群写作形式:1标题群的小标题一般是加“[ ]”标志2在一些网站也采用“大字号标题+小字号标题”的方式,“小字号标题”一般是作为副题,“大字号标题”作为主题标题群形式优势1大标题将新闻事件发展过程中最新、最重要的事实突出2将不同的新闻事件有机地整合在一起,充分展现新闻事件的动态性和新闻事件间的相关性3既突出了新闻的重要性和影响力,又为普通用户梳理出事件发展的脉络4展示出立体化的传播思维和空间层次感,形成一种网络新闻专题报道样式 网络标题的加工原则1准确2客观、真实3掌握分寸4导向正确5言之有物6生动形象 锤炼标题文字1压缩与概括标题内容2删去可有可无的字3改变叙述方式4适当运用约定俗成的简称5作题窍门 网络新闻内容整合编辑的手段1依据新闻素材组织新稿件:综编、缩编、拆编2编辑引导性内容:为引导阅读而撰写导读、加小标题、加注点评3为使表达准确对稿件中人名、地名核对、改动 相关内容的编辑1配相关内容1)同一事件的相关内容2)类同事件3)配资料4)有关服务2、灵活编排1)标题排列2)全文切入3) 关键字超级连接3、把握好尺度(1)注意关联性(2) 坚持正确导向 热点事件的报道一段时期内,受到社会普遍关注的新闻事件 灾难新闻报道1力争在第一时间向公众进行全面、准确、真实、客观的报道2报道事故的同时,还应关注灾难的原因、背景、后果、责任,实现舆论监督的作用

相关文档