文档库 最新最全的文档下载
当前位置:文档库 › 计数器及其应用

计数器及其应用

计数器及其应用
计数器及其应用

计数器的应用

一、实验目的

1、学习用集成触发器构成计数器的方法

2、掌握中规模集成计数器的使用及功能测试方法

3、运用集成计数器构成1/N分频器

二、实验原理

计数器是一个用以实现计数功能的时序部件,它不仅可用来及脉冲数,还常用作数子系统的定时、分频和执行数字运算以及其它特定的逻辑功能。

计数器种类很多。按构成计数器中的各触发器是否使用一个时钟脉冲源来分,有同步计数器和异步计数器。根据计数制的不同,分为二进制计数器、十进制计数器和任意进制计数器。根据计数器的增减趋势,又分为加法、减法和可逆计数器。还有可预制数和可变程序功能计数器等等。目前,无论是TTL还是CMOS集成电路,都有品种较齐全的中规模集成计数器。使用者只要借助于器件手册提供的功能和工作波形图以及引出端的排列,就能正确运用这些器件。

1、用D触发器构成异步二进制加/减计数器

图7—1是用四只D触发器构成的四位二进制异步加法计数器,它的连接特点是将每只D触发器接成T触发器,在由低位触发器的Q端和高一位的CP端相连接。

若将图7—1稍加改动,即将低位触发器的Q端与高一位的CP端相连接,即构成了一个4位二进制减法计数器。

2、中规模同步集成计数器 同步集成计数器基本类型见表7-1。 表7-1 同步计数器芯片型号和功能

⑴同步4位二进制计数器

74LS161的功能见表7-2,74LS163的功能见表7-3,引脚图见图7-2。LD 为置数控制端,CLR 为置0控制端, D 0~D 3为并行数据输入端,Q 0~Q 3为输出端,CO 为进位输出端。

⑵4位十进制同步计数器

74LS160的功能见表7-4,引脚图见图7-2。74LS162的功能见表7-5,引脚图见图7-2。 表7-2 74LS161的功能表

输 入

输 出

CP LD

CLR

EP ET Q × × 0 × × 全“L ” ↑ 0 1 × × 预置数据 ↑ 1 1 1 1 计数 × 1 1 0 × 保持 ×

1

1

×

保持

型号 功能

型号 功能

74LS161 4位十进制同步计数器(异步

清除)

74LS190 4位十进制加/减同步计数器 74LS163 4位二进制同步计数器(异步

清除)

74LS191

4位二进制加/减同步计数器

74LS160 4位十进制同步计数器(同步

清除)

74LS192 4位十进制加/减同步计数器(双时钟) 74LS162

4位二进制同步计数器(同步

清除)

74LS193 4位二进制加/减同步计数器(双时钟)

集成计数器及寄存器的运用 实验报告

电子通信与软件工程 系2013-2014学年第2学期 《数字电路与逻辑设计实验》实验报告 --------------------------------------------------------------------------------------------------------------------- 班级: 姓名: 学号: 成绩: 同组成员: 姓名: 学号: --------------------------------------------------------------------------------------------------------------------- 一、 实验名称:集成计数器及寄存器的运用 二、实验目的: 1、熟悉集成计数器逻辑功能与各控制端作用。 2、掌握计数器使用方法。 三、 实验内容及步骤: 1、集成计数器74LS90功能测试。74LS90就是二一五一十进制异步计数器。逻辑简图为图8、1所示。 四、 五、 图8、1 六、 74LS90具有下述功能: ·直接置0(1)0(2)0(.1)R R ,直接置9(S9(1,·S,.:,=1) ·二进制计数(CP 、输入QA 输出) ·五进制计数(CP 2输入Q D Q C Q B 箱出) ·十进制计数(两种接法如图8.2A 、B 所示) ·按芯片引脚图分别测试上述功能,并填入表 8、1、表8、2、表8、3中。

图8、2 十进制计数器 2、计数器级连 分别用2片74LS90计数器级连成二一五混合进制、十进制计数器。 3、任意进制计数器设计方法 采用脉冲反馈法(称复位法或置位法)。可用74LS90组成任意模(M)计数器。图8、3就是用74LS90实现模7计数器的两种方案,图(A)采用复位法。即计数计到M异步清0。图(B)采用置位法,即计数计到M一1异步置0。 图8、3 74LS90 实现七进进制计数方法 (1)按图8、3接线,进行验证。 (2)设计一个九进制计数器并接线验证。 (3)记录上述实验的同步波形图。 四、实验结果:

通用计数器及其应用

第七章通用计数器及其应用 电子计数器是一种多功能的电子测量仪器。它利用电子学的方法测出一定时间内输入的脉冲数目,并将结果以数字形式显示出来。通常电子计数器按照它的功能可分为以下三类:1)通用计数器通常指多功能计数器。它可以用于测量频率、频率比、周期、时间间隔和累加计数等,如配以适当的插件,还可以测量相位、电压等电量。 2)频率计数器其功能为测频和计数。测频范围很宽,在高频和微波范围内的计数器均属于此类。 3)计算计数器带有微处理器、具有计算功能。它除具有计数器功能外,还能进行数学运算、求解比较复杂的方程式,能依靠程控进行测量、计算和显示等全部工作。 计数及显示单元 图7-1 通用电子计数器方框图 一、通用电子计数器的基本组成 电子计数器的基本组成原理方框图见图7-1。这是一种通用多功能电子计数器。电路由A、B输入通道、时基产生与变换单元、主门、控制单元、计数及显示单元等组成。电子计数器的基本功能是频率测量和时间测量,但测量频率和测量时间时,加到主门和控制单元的信号源不同,测量功能的转换由开关来操纵。累加计数时,加到控制单元的信号则由人工控制。至于计数器的其它测量功能,如频率比测量、周期测量等则是基本功能的扩展。(一)A、B输入通道 输入通道送出的信号,经过主门进入计数电路,它是计数电路的触发脉冲源。为了保证计数电路正确工作,要求该信号具有一定的波形、极性和适当的幅度,但输入被测信号的幅度不同,波形也多种多样,必须利用输入通道对信号进行放大、整形,使其变换为符合主门

要求的计数脉冲信号。输入通道共有两路。由于两个通道在测试中的作用不同,也各有其特点。 A输入通道是计数脉冲信号的输入电路。其组成如图7-2(a)所示。 衰减器 射极 跟随器放大器 施密特 电 路至主门 选通门 A门选通信号 平调节 射极 跟随器放大器 施密特 电 路至门控 选通门 B门选通信号 输入电 倒相器双稳 (a) A输入通道 (b) B输入通道 7-2 输入通道方框图 当测量频率时,计数脉冲是输入的被测信号经整形而得到的。当测量时间时,该信号是仪器内部晶振信号经倍频或分频后再经整形而得到的。究竟选用何种信号,由选通门的选通控制信号决定。 B输入通道是闸门时间信号的通路,用于控制主门是否开通。该信号经整形后用来触发双稳态触发器,使其翻转。以一个脉冲启开主门,而以随后的一个脉冲关门。两脉冲的时间间隔为开门时间。在此期间,计数器对经过A通道的计数脉冲计数。为保证信号在一定的电平时触发,输入端可对输入信号电平进行连续调节。在施密特电路之后还接有倒相器,从而可任意选择所需要的触发脉冲极性。 有的通用计数器闸门时间信号通路有两路,分别称为 B、C通道。两通道的电路结构完 全相同。B通道用来作门控双稳的“启动”通道,使双稳电路翻转;C通道用作门控双稳“停止”通道,使其复原。两通道的输出经由或门电路加至门控双稳触发器的输入端。 (二)主门 主门又称信号门或闸门,对计数脉冲能否进入计数器起着闸门的作用。主门电路是一个标准的双输入逻辑门,如图7-3所示。它的一个输入端接入来自门控双稳触发器的门控信号,另一个输入端则接收计数用脉冲信号。在门控信号有效期间,计数脉冲允许通过此门进入计数器计数。 在测量频率时的门控信号为仪器内部的闸门时间选择电路送来的标准信号,在测量周期或时间时则是整形后的被测信号。 计数脉冲输入 门控信号输入 T T & 图7-3 主门电路

实验六计数器及其应用

实验六计数器及其应用 一、实验目的 1、学习用集成触发器构成计数器的方法 2、掌握中规模集成计数器的使用及功能测试方法 3、运用集成计数计构成1/N分频器 二、实验原理 1、用D触发器构成异步二进制加/减计数器 图1是用四只D触发器构成的四位二进制异步加法计数器,它的连接特点是将每只D触发器接成T'触发器,再由低位触发器的Q端和高一位的CP端相连接。 图1 四位二进制异步加法计数器 2、中规模十进制计数器 CC40192是同步十进制可逆计数器,具有双时钟输入,并具有清除和置数等功能,其引脚排列及逻辑符号如图2所示。 图2 CC40192引脚排列及逻辑符号 图中LD—置数端 CP U —加计数端 CP D —减计数端

CO—非同步进位输出端BO—非同步借位输出端 D 0、D 1 、D 2 、D 3 —计数器输入端 Q 0、Q 1 、Q 2 、Q 3 —数据输出端 CR—清除端 CC40192(同74LS192,二者可互换使用)的功能如表9-1,说明如下: 表9-1 3、计数器的级联使用 图3是由CC40192利用进位输出CO控制高一位的CP U 端构成的加数级联图。 图3 CC40192级联电路 4、实现任意进制计数 (1) 用复位法获得任意进制计数器 假定已有N进制计数器,而需要得到一个M进制计数器时,只要M<N,用复位法使计数器计数到M时置“0”,即获得M进制计数器。如图4所示为一个由CC40192 十进制计数器接成的6进制计数器。 (2) 利用预置功能获M进制计数器 图4 六进制计数器

三、实验设备与器件 1、+5V直流电源 2、双踪示波器 3、连续脉冲源 4、单次脉冲源 5、逻辑电平开关 6、逻辑电平显示器 7、译码显示器 8、 CC4013×2(74LS74)、CC40192×3(74LS192)、CC4011(74LS00) CC4012(74LS20) 四、实验内容 1、用CC4013或74LS74 D触发器构成4位二进制异步加法计数器。 (1) 按图9-1接线,R D 接至逻辑开关输出插口,将低位CP 端接单次脉冲源, 输出端Q 3、Q 2 、Q 3 、Q 接逻辑电平显示输入插口,各S D接高电平“1”。 (2) 清零后,逐个送入单次脉冲,观察并列表记录 Q 3~Q 状态。 (3) 将单次脉冲改为1HZ的连续脉冲,观察Q 3~Q 的状态。 (4) 将1Hz的连续脉冲改为1KHz,用双踪示波器观察CP、Q 3、Q 2 、Q 1 、Q 端波 形,描绘之。 5) 将图9-1电路中的低位触发器的Q端与高一位的CP端相连接,构成减法计 数器,按实验内容2),3),4)进行实验,观察并列表记录Q 3~Q 的状态。 2、测试CC40192或74LS192同步十进制可逆计数器的逻辑功能 (1) 清除:CR=1 (2) 置数:CR=0,数据输入端输入任意一组二进制数,令LD= 0,观察计数译码显示输出。 (3) 加计数:CR=0,LD=CP D =1,CP U 接单次脉冲源。 (4) 减计数:CR=0,LD=CP U =1,CP D 接单次脉冲源。 3、图9-3所示,用两片CC40192组成两位十进制加法计数器,输入1Hz连续计数脉冲,进行由00—99累加计数,记录之。 4、按图4电路进行实验,记录之。

项目二十一集成同步计数器常用芯片及其应用(精)

项目二十一:集成同步计数器常用芯片及其应用 内容简介 在本次课中,我们将介绍集成同步计数器等常用芯片及其应用。 本次授课内容为课本P134-139 教学组织 1.常用中规模集成计数器 (1)常用异步集成计数器74LS290 74LS290芯片的符号图和管脚排列如下图所示。其中,S9(1)、S9(2)称为置“9”端,R0(1)、R0(2)称为置“0”端;CP0、CP1端为计数时钟输入端,Q3Q2Q1Q0为输出端,NC表示空脚。 74LS290具有以下功能: 置“9”功能:当S9(1)=S9(2)=1时,不论其他输入端状态如何,计数器输出Q3 Q2 Q1 Q0=1001,而(1001)2=(9)10,故又称为异步置数功能。 置“0”功能:当S9(1)和S9(2)不全为1,并且R0(1)=R0(2)=1时,不论其他输入端状态如何,计数器输出Q3 Q2 Q1 Q0=0000,故又称为异步清零功能或复位功能。 计数功能:当S9(1)和S9(2)不全为1,并且R0(1)和R0(2)不全为1时,输入计数脉冲CP,计数器开始计数。计数脉冲由CP0输入,从Q0输出时,则构成二进制计数器;计数脉冲由CP1输入,输出为Q2Q1Q0时,则构成五进制计数器;若将Q0和CP1相连,计数脉冲由CP0输入,输出为Q3Q2Q1Q0时,则构成十进制(8421码)计数器;若将Q3和CP0相连,计数脉冲由CP1输入,输出为Q3Q2Q1Q0时,则构成十进制(5421码)计数器。因此,74LS290又称为“二—五—十进制型集成计数器”。 (2)常用同步集成计数器74LS161 74LS161是一种同步4位二进制加法集成计数器。其符号图和管脚的排列如下图(a)、(b)所示,逻辑功能如下表所示。 74LS290的符号图和管脚图 74LS161的符号图和管脚图

计数器在实际生活中的应用

计数器在实际生活中的应用 华中科技大学文华学院10环境工程2班 100205021126 黄丹 【关键词】计数器生活应用发展 【内容摘要】计数器除了计数功能外,计数器产品还有一些附加功能,可以方便地用我们可以得到的计数器来构成任意进制的计数器。智能计数器是未来计数器发展的方向。 计数是一种最简单基本的运算,计数器就是实现这种运算的逻辑电路,计数器在数字系统中主要是对脉冲的个数进行计数,以实现测量、计数和控制的功能,同时兼有分频功能,计数器是由基本的计数单元和一些控制门所组成,计数单元则由一系列具有存储信息功能的各类触发器构成,这些触发器有RS触发器、T触发器、D触发器及JK触发器等。 如果按照计数器中的触发器是否同时翻转分类,可将计数器分为同步计数器和异步计数器两种。如果按照计数过程中数字增减分类,又可将计数器分为加法计数器、减法计数器和可逆计数器,随时钟信号不断增加的为加法计数器,不断减少的为减法计数器,可增可减的叫做可逆计数器。另外还有很多种分类方法。 计数器除了计数功能外,计数器产品还有一些附加功能,如异步复位、预置数(有同步预置数和异步预置数两种。前者受时钟脉冲控制,后者不受时钟脉冲控制)、保持(有保持进位和不保持进位两种)。虽然计数器产品一般只有二进制和十进制两种,有了这些附加功能,我们就可以方便地用我们可以得到的计数器来构成任意进制的计数器。 计数器在数字系统中应用广泛,如在电子计算机的控制器中对指令地址进行计数,以便顺序取出下一条指令,在运算器中作乘法、除法运算时记下加法、减法次数,又如在数字仪器中对脉冲的计数等等。计数器可以用来显示产品的工作状态,一般来说主要是用来表示产品已经完成了多少份的折页配页工作。它主要的指标在于计数器的位数,常见的有3位和4位的。很显然,3位数的计

三菱高速计数器应用

三菱FX系列PLC计数器(C)内部计数器高速计数器 2016-02-03 来源:网络或本站原创 FX2N系列计数器分为内部计数器和高速计数器两类。 1.内部计数器 内部计数器是在执行扫描操作时对内部信号(如X、Y、M、S、T等)进行计数。内部输入信号的接通和断开时间应比PLC的扫描周期稍长。 (1)16位增计数器(C0~C199)??共200点,其中C0~C99为通用型,C100~C199共100点为断电保持型(断电保持型即断电后能保持当前值待通电后继续计数)。这类计数器为递加计数,应用前先对其设置一设定值,当输入信号(上升沿)个数累加到设定值时,计数器动作,其常开触点闭合、常闭触点断开。计数器的设定值为1~32767(16位二进制),设定值除了用常数K设定外,还可间接通过指定数据寄存器设定。 下面举例说明通用型16位增计数器的工作原理。如图1所示,X10为复位信号,当X10为ON时C0复位。X11是计数输入,每当X11接通一次计数器当前值增加1(注意X10断开,计数器不会复位)。当计数器计数当前值为设定值10时,计数器C0的输出触点动作,Y0被接通。此后既使输入X11再接通,计数器的当前值也保持不变。当复位输入X10接通时,执行RST复位指令,计数器复位,输出触点也复位,Y0被断开。 图1??通用型16位增计数器 (2)32位增/减计数器(C200~C234)??共有35点32位加/减计数器,其中C200~C219(共20点)为通用型,C220~C234(共15点)为断电保持型。这类计数器与16位增计数器除位数不同外,还在于它能通过控制实现加/减双向计数。设定值范围均为~(32位)。 C200~C234是增计数还是减计数,分别由特殊辅助继电器M8200~M8234设定。对应的特殊辅助继电器被置为ON时为减计数,置为OFF时为增计数。 计数器的设定值与16位计数器一样,可直接用常数K或间接用数据寄存器D的内容作为设定值。在间接设定时,要用编号紧连在一起的两个数据计数器。 如图2所示,X10用来控制M8200,X10闭合时为减计数方式。X12为计数输入,C200的设定值为5(可正、可负)。设C200置为增计数方式(M8200为OFF),当X12计数输入累加由4→5时,计数器的输出触点动作。当前值大于5时计数器仍为ON状态。只有当前值由5→4时,计数器才变为OFF。只要当前值小于4,则输出则保持为OFF状态。复位输入X11接通时,计数器的当前值为0,输出触点也随之复位。 图2? 32位增/减计数器 2.高速计数器(C235~C255) 高速计数器与内部计数器相比除允许输入频率高之外,应用也更为灵活,高速计数器均有断电保持功能,通过参数设定也可变成非断电保持。FX2N有C235~C255共21点高速计数器。适合用来做为高速计数器输入的PLC输入端口有X0~X7。X0~X7不能重复使用,即某一个输入端已被某个高速计数器占用,它就不能再用于

计数器及其应用

计数器的应用 一、实验目的 1、学习用集成触发器构成计数器的方法 2、掌握中规模集成计数器的使用及功能测试方法 3、运用集成计数器构成1/N分频器 二、实验原理 计数器是一个用以实现计数功能的时序部件,它不仅可用来及脉冲数,还常用作数子系统的定时、分频和执行数字运算以及其它特定的逻辑功能。 计数器种类很多。按构成计数器中的各触发器是否使用一个时钟脉冲源来分,有同步计数器和异步计数器。根据计数制的不同,分为二进制计数器、十进制计数器和任意进制计数器。根据计数器的增减趋势,又分为加法、减法和可逆计数器。还有可预制数和可变程序功能计数器等等。目前,无论是TTL还是CMOS集成电路,都有品种较齐全的中规模集成计数器。使用者只要借助于器件手册提供的功能和工作波形图以及引出端的排列,就能正确运用这些器件。 1、用D触发器构成异步二进制加/减计数器 图7—1是用四只D触发器构成的四位二进制异步加法计数器,它的连接特点是将每只D触发器接成T触发器,在由低位触发器的Q端和高一位的CP端相连接。 若将图7—1稍加改动,即将低位触发器的Q端与高一位的CP端相连接,即构成了一个4位二进制减法计数器。

2、中规模同步集成计数器 同步集成计数器基本类型见表7-1。 表7-1 同步计数器芯片型号和功能 ⑴同步4位二进制计数器 74LS161的功能见表7-2,74LS163的功能见表7-3,引脚图见图7-2。LD 为置数控制端,CLR 为置0控制端, D 0~D 3为并行数据输入端,Q 0~Q 3为输出端,CO 为进位输出端。 ⑵4位十进制同步计数器 74LS160的功能见表7-4,引脚图见图7-2。74LS162的功能见表7-5,引脚图见图7-2。 表7-2 74LS161的功能表 输 入 输 出 CP LD CLR EP ET Q × × 0 × × 全“L ” ↑ 0 1 × × 预置数据 ↑ 1 1 1 1 计数 × 1 1 0 × 保持 × 1 1 × 保持 型号 功能 型号 功能 74LS161 4位十进制同步计数器(异步 清除) 74LS190 4位十进制加/减同步计数器 74LS163 4位二进制同步计数器(异步 清除) 74LS191 4位二进制加/减同步计数器 74LS160 4位十进制同步计数器(同步 清除) 74LS192 4位十进制加/减同步计数器(双时钟) 74LS162 4位二进制同步计数器(同步 清除) 74LS193 4位二进制加/减同步计数器(双时钟)

集成二-五-十计数器的应用课案

一、实验目的 1.掌握集成二~五~十进制计数器的逻辑功能; 2.学会集成二~五~十进制计数器的应用。 二、实验原理 1.集成二~五~十进制计数器7490简介 集成二~五~十进制计数器内部电路如图 在Cp0作用下FF0完成一位二进制计数; 在Cp1作用下FF1、FF2、FF3按421码完成五进制计数;S91S92=1时,计数器Q3Q2Q1Q0完成置9功能; S91S92=0、R01R02=1时,计数器Q3Q2Q1Q0完成置0功能。 2.集成二~五~十进制计数器7490功能表 3.集成二~五~十进制计数器7490的应用

(1)构成8421BCD十进制加法异步计数器 由于该芯片内二~五进制计数器均为下降沿触发,所以只需将421码五进制加法计数器的时钟Cp1接二进制计数器的输出Q0即可。 如图: (2)构成5421BCD十进制加法异步计数器 由于该芯片内二~五进制计数器均为下降沿触发,所以只需将421码五进制加法计数器的Q3输出端接二进制计数器的时钟Cp0即可。 如图: (3)构成模10以内任意进制计数器 ①反馈置0法:通过设计外部门电路使S91S92=0、R01R02=1。 ②反馈置9法:通过设计外部门电路使S91S92=1。 三、实验仪器 1.直流稳压电源1台 2.任意波信号发生器1台 3.数字万用表1台 4.电子技术综合实验箱1台 5.数字示波器1台

四、实验内容 1.二~五~十进制计数器功能验证 7490管脚图如图,根据功能表,画出验证集成二~五~十进制计数器的测试图,自拟实验步骤进行验证。 2.构成8421BCD十进制加法异步计数器 按图搭接电路,用单脉冲作Cp0时钟,用数码管显示8421BCD十进制加法异步计数器,验证其计数功能,写出计数时序表。 (1)仿真电路图:

计数器在我们生活中的应用

计数器在实际生活中的应用 胡思维 华中科技大学文华学院2010级环境工程2班 学号100205021124 摘要:计数是一种最简单基本的运算,计数器就是实现这种运算的逻辑电路,计数器在数字系统中主要是对脉冲的个数进行计数,以实现测量、计数和控制的功能,同时兼有分频功能。计数器种类繁多,在实际生活中被广泛应用各个领域,包括在工业生产方面、在数字系统方面、交通信号灯控制方面、切纸机械定位和电梯定位调速等方面,是日常生活中不可或缺的一种电子部件。 关键词:计数器;脉冲;数字系统;PLC 高速计数器 计数器的定义 计数器是一个用来实现计数功能的时序部件,它不仅可以对脉冲进行计数,还常常被用作数字系统的定时、分频和执行数字运算以及其他特定的逻辑功能。计数是日常生活中最常遇到的算术动作,所以计数器应用广泛,种类繁多。 计数器的基本工作原理 计数是一种最简单基本的运算,计数器就是实现这一种运算的逻辑电路。计数器在数字系统中主要是对脉冲的个数进行计数,以实现测量、计数和控制的功能,同时兼有分频功能。计数器是由基本的计数单元和一些控制门所组成,计数单元由一系列具有存储信息功能的各类触发器构成,这些触发器有RS触发器、T 触发器、D触发器及JK触发器等。 以D触发器构成异步二进制加/减计数器为例:如图所示,是用四只D触发器构成的四位二进制异步加法计数器,它的连接特点是将每只D触发器接成T 触发器,再由地位触发器的Q端和高一位的CP端相连接。

计数器的分类 1按照计数单元中各触发器所接收计数脉冲和翻转顺序或计数功能来划分,有同步和异步两类; 2按计数进制来划分,可分为二进制、十进制和任意进制; 3按计数顺序划分,有加法、减法和可逆(双向)之分。随时钟信号不断增加的为加法计数器,不断减少的为减法计数器,可增可减的叫做可逆计数器; 4按预置和清除方式来划分,有并行预置、直接预置、异步清除和同步清除等; 5按权码来分,有“8421”码。“5421”码、余“3”码等; 6按集成度来分,有单、双位计数器等等。 最常用的是前三种分类,因为这种分类可以使人一目了然,知道这个计数器到底是什么触发方式,进行什么运算,以便于设计者进行电路的设计。 集。在实际生产生活中具有广泛的应用。 1在工业生产中的应用 在工业生产中,常常需要自动统计产品数量,计数器在这里有了它的用武之地,使用最多的就是数字式电子计数器。 数字式电子计数器有直观和计数准确的优点,目前已经在各种行业中普遍使用。数字式电子计数器有多种计数触发方式,它是由实际使用条件和环境决定的,通常分为接触式计数器和非接触式计数器两种,其中非接触式的光电计数器使用最为广泛。 光电计数器采用光电传感器构成的光电门实现对通过光电门的物体进行计数,通过实时监控能够有效地控制工业生产中生产流水线的包装数量,实现自动

计数器工作原理及应用

计数器工作原理及应用 除了计数功能外,计数器产品还有一些附加功能,如异步复位、预置数(注意,有同步预置数和异步预置数两种。前者受时钟脉冲控制,后者不受时钟脉冲控制)、保持(注意,有保持进位和不保持进位两种)。虽然计数器产品一般只有二进制和十进制两种,有了这些附加功能,我们就可以方便地用我们可以得到的计数器来构成任意进制的计数器。下面我们举两个例子。在这两个例子中,我们分别用同步十进制加法计数器74LS160构成一个六进制计数器和一个一百进制计数器。 因为六进制计数器的有效状态有六个,而十进制计数器的有效状态有十个,所以用十进制计数器构成六进制计数器时,我们只需保留十进制计数器的六个状态即可。74LS160的十个有效状态是BCD编码的,即0000、0001、0010、0011、0100、0101、0110、0111、1000、1001[图5-1]。 图5-1 我们保留哪六个状态呢?理论上,我们保留哪六个状态都行。然而,为了使电路最简单,保留哪六个状态还是有一点讲究的。一般情况下,我们总是保留0000和1001两个状态。因为74LS160从100 1变化到0000时,将在进位输出端产生一个进位脉冲,所以我们保留了0000和1001这两个状态后,我们就可以利用74LS160的进位输出端作为六进制计数器的进位输出端了。于是,六进制计数器的状态循环可以是0000、0001、0010、0011、0100和1001,也可以是0000、0101、0110、0111、1000和1001。我们不妨采用0000、0001、0010、0011、0100和1001这六个状态。 如何让74LS160从0100状态跳到1001状态呢?我们用一个混合逻辑与非门构成一个译码器[图5. 3.37b],当74LS160的状态为0100时,与非门输出低电平,这个低电平使74LS160工作在预置数状态,当下一个时钟脉冲到来时,由于等于1001,74LS160就会预置成1001,从而我们实现了状态跳跃。

实验九-可逆计数器的功能测试及应用电路

实验九可逆计数器的功能测试及应用电路 实验目的: (1)掌握可逆计数器74LS191、74LS191、74LS192、74LS193的逻辑功能及使用方法。 (2)熟悉可逆计数器实现任意进制的数码倒计时电路的工作原理。 实验仪器与器件: 实验箱一个;双踪示波器一台;稳压电源一台;函数发生器一台。 74LS191、74LS191、74LS191或74HC48、74LS00和74LS04。 实验内容: 1测试74LS190和74LS191的逻辑功能,并用数码管显示,验证是否与表2-9-4一致,分别画出各单元的电路图,写出各自的状态 实验原理:单时钟74LS191二进制同步加/减计数器的功能表如下: 表2-9-4 单时钟74LS191二进制同步加/减计数器的功能表 单时钟74LS191二进制同步加/减计数器是十进制的,其他功能与74LS191一样。它的有效状态为0000~1001. 实验电路: 如图所示是减计数时当计数器的状态变为0时的电路状态:RCO=0,MAX/=1; MIN

实验现象与结果: 该结果是当CTEN =0,D L =1,D U /=1时,A B C D Q Q Q Q 的 波形图; 该结果是当CTEN =0,D L =1,D U /=1时, RCO 与MIN MAX /的波形图

需要说明的是:当CTEN= D L=1时,电路保持原来的状态。 2测试74LS192和74LS193的逻辑功能,并用数码管显示,验证是否与表2-9-3及2-9-5一致。画出测试电路图。 实验原理: 双时钟74LS192同步十进制可逆计数器的功能表如下表所示,74LS192是十进制计数器。 表2-9-3双时钟74LS192同步十进制可逆计数器的功能表 输入输出工作 状态 U CP UP D CP DOW N CLR D L DCBA A B C D Q Q Q Q U TC D TC **H H ****0000 H H 异步 清零**L L 1001 1001 H H 异步 置数 H ↑L H ****1001→ 0001→ 0000H H H L 减法 计数 ↑H L H ****0000→ 1000→ 1001H L H H 加法 计数 双时钟74LS193二进制同步加/减法计数器的功能表如下表所示,74LS193是一个十六进制的计数器。

实验五 74LS90计数器及其应用

实验五 74LS90计数器及其应用 吴宇 2009302301 9294 一、 实验目的 (1) 熟悉常用中规模计数器的逻辑功能。 (2) 掌握二进制计数器和十进制计数器的工作原理和使用方法 (3) 熟练掌握利用74LS90计数器设计其他进制计数器的方法 二、 实验设备 数字电路实验箱,数字万用表,74LS90,函数信号发生器,74LS47及数码管 三、 实验原理 计数是一种最简单的基本运算,计数器在数字系统中主要是对脉冲信号个数进行计数,以实现测量、计数和控制功能,同时兼有分频的功能。计数器按计数进制分有二进制计数器,十进制计数器和任意进制计数器;按技术单元中触发器所接受计数脉冲和翻转顺序分有异步计数器、同步计数器;按计数供能分忧加法计数器,减法计数器,可逆计数器等。 1. 异步清零二——五——十进制异步计数器 74LS90 74LS90是一块二五十进制异步计数器,外形为双列直插。计数脉冲由单次脉冲源提供, 如果从1CP 端输入,从0Q 端输出,则是二进制计数器;如果从2CP 端输入,从321Q Q Q 输出,则是异步五进制加法计数器。 四、 实验内容 (1).用74LS90实现十进制,并用数码管显示 用BCD8421码实现十进制,时钟信号从1CP 端输入,0Q 端为最低位输出信号 ,并作为进位信号输入2CP 端,321Q Q Q 输出,由高到低排列。

十进制仿真实现图: (2).用74LS90实现六进制,并用数码管显示 复位法: 原理:先将74LS90连成十进制,然后连出进位信号至复位端进位。即当输出为0110时,输出复位信号。可以把21Q Q 练到0102R R 得到复位信号,仿真如图: 六进制仿真实现图:

实验四 计数器及其应用

实验四计数器及其应用 一、实验目的 l、学习用集成触发器构成计数器的方法 2、掌握中规模集成计数器的使用及功能测试方法 3、运用集成计数计构成l位分频器 二、实验原理 计数器是一个用以实现计数功能的时序部件,它不仅可用来计脉冲数,还常用作数字系统的定时、分频和执行数字运算以及其它特定的逻辑功能。 计数器种类很多。按构成计数器中的各触发器是否使用一个时钟脉冲源来分,有同步计数器和异步计数器。根据计数制的不同,分为二进制计数器,十进制计数器和任意进制计数器。根据计数的增减趋势,又分为加法、减法和可逆计数器。还有可预置数和可编程序功能计数器等等。目前,无论是TTL还是CMOS集成电路,都有品种较齐全的中规模集成计数器。使用者只要借助于器件手册提供的功能表和工作波形图以及引出端的排列,就能正确地运用这些器件。 l、用D触发器构成异步二进制加/减计数器 图4-1是用四只D触发器构成的四位二进制异步加法计数器,它的连接特点是将每只D 触发器接成T’触发器,再由低位触发器的Q端和高—位的CP端相连接。 图4-1 四位二进制异步加法计数器 若将图4-l稍加改动,即将低位触发器的Q端与高一位的CP端相连接,即构成了一个4位二进制减法计数器。 2、中规模十进制计数器 CC40192是同步十进制可逆计数器,具有双时钟输入,并具有清除和置数等功能,具引脚排列及逻辑符号如图4-2所示。

图4-2 CC40192引脚排列及逻辑符号 图中LD一置数端CP L一加计数端CP D一减计数端 CO一非同步进位输出端BO一非同步借位输出端 D0、D1、D2、D3一计数器输入端 Q0、Q1、Q2、Q3一数据输出端CR一清除端 CC40192(同74LS192,二者可互换使用)的功能如表4-1,说明如下:表4-1 当清除端CR为高电平“1”时,计数器直接清零;CR置低电平则执行其它功能。 当CR为低电平,置数端LD也为低电平时,数据直接从置数端D0、D1、D2、D3置入计数器。 当CR为低电平,LD为高电平时,执行计数功能。执行加计数时,减计数端CP D接高 电平,计数脉冲由CP U输入;在计数脉冲上升沿进行842l码十进制加法计数。执行减计数时,加计数端CPu接高电平,计数脉冲由减计数端CP D输入,表4-2为8421码十进制加、减计数器的状态转换表。 表4-2 3、计数器的级联使用 一个十进制计数器只能表示0~9十个数,为了扩大计数器范围,常用多个十进制计数器级联使用。 同步计数器往往设有进位(或借位)输出端,故可选用其进位(或借位)输出信号驱动下一级计数器。 图4-3是由CC40192利用进位输出CO控制高一位的CP U端构成的加数级联图。

计数器原理分析及应用实例

计数器原理分析及应用实例 除了计数功能外,计数器产品还有一些附加功能,如异步复位、预置数(注意,有同步预置数和异步预置数两种。前者受时钟脉冲控制,后者不受时钟脉冲控制)、保持(注意,有保持进位和不保持进位两种)。虽然计数器产品一般只有二进制和十进制两种,有了这些附加功能,我们就可以方便地用我们可以得到的计数器来构成任意进制的计数器。下面我们举两个例子。在这两个例子中,我们分别用同步十进制加法计数器74LS160构成一个六进制计数器和一个一百进制计数器。 因为六进制计数器的有效状态有六个,而十进制计数器的有效状态有十个,所以用十进制计数器构成六进制计数器时,我们只需保留十进制计数器的六个状态即可。74LS160的十个有效状态是BCD编码的,即0000、0001、0010、0011、0100、0101、0110、0111、1000、1001[图5-1]。 图5-1 我们保留哪六个状态呢?理论上,我们保留哪六个状态都行。然而,为了使电路最简单,保留哪六个状态还是有一点讲究的。一般情况下,我们总是保留0000和1001两个状态。因为74LS160从1001变化到0000时,将在进位输出端产生一个进位脉冲,所以我们保留了0000和1001这两个状态后,我们就可以利用74LS160的进位输出端作为六进制计数器的进位输出端了。于是,六进制计数器的状态循环可以是0000、0001、0010、0011、0100和1001,也可以是0000、0101、0110、0111、1000和1001。我们不妨采用0000、0001、0010、0011、0100

和1001这六个状态。 如何让74LS160从0100状态跳到1001状态呢?我们用一个混合逻辑与非门构成一个译码器[图5.3.37b],当74LS160的状态为0100时,与非门输出低电平,这个低电平使74LS160工作在预置数状态,当下一个时钟脉冲到来时,由于等于1001,74LS160就会预置成1001,从而我们实现了状态跳跃。 图5.3.37b用置数法将74160接成六进制计数器(置入1001) 比这个方案稍微繁琐一点的是利用74LS160的异步复位端。下面这个电路中[图5.3.34],也有一个由混合逻辑与非门构成的译码器。 图5.3.34用置零法将74LS160接成六进制计数器

计数器及其应用

实验八 计数器及其应用 一、实验目的 1、熟悉中规模集成计数器的逻辑功能及使用方法 2、掌握用74LS160/74LS161构成任意进制计数器的方法 3、熟悉中规模集成计数器各输出波形及应用 4、学习用集成触发器构成计数器的方法 二、实验任务 1、利用D 触发器设计四位二进制加法/减法计数器。 2、利用74LS161设计十二进制计数器,要求用置零法和置数法二种方法实现。 3、利用多片74LS161设计七十二进制计数器。 三、实验原理 计数是一种最简单基本的运算,计数器就是实现这种运算的逻辑电路,计数器在数字系统中主要是对脉冲的个数进行计数,以实现测量、计数和控制的功能,同时兼有分频功能,计数器是由基本的计数单元和一些控制门所组成,计数单元则由一系列具有存储信息功能的各类触发器构成,这些触发器有RS 触发器、T 触发器、D 触发器及JK 触发器等。计数器在数字系统中应用广泛,如在电子计算机的控制器中对指令地址进行计数,以便顺序取出下一条指令,在运算器中作乘法、除法运算时记下加法、减法次数,又如在数字仪器中对脉冲的计数等等 计数器按计数进制不同,可分为二进制计数器、十进制计数器、其他进制计数器和可变进制计数器,若按计数单元中各触发器所接收计数脉冲和翻转顺序或计数功能来划分,则有异步计数器和同步计数器两大类,以及加法计数器、减法计数器、加/减计数器等,如按预置和清除方式来分,则有并行预置、直接预置、异步清除和同步清除等差别,按权码来分,则有“8421”码,“5421”码、余“3”码等计数器,按集成度来分,有单、双位计数器等等,其最基本的分类如下: 计数器的种类?? ? ? ? ?? ???? ? ? ?????????? ?????进制计数器十进制计数器二进制计数器进制可逆计数器 减法计数器加法计数器功能异步计数器 同步计数器结构N 、、、321 1、 用D 触发器构成异步二进制加/减计数器 图3.8.1是用四只D 触发器构成的四位二进制异步加法计数器,它的连接特点是将每只D 触发器接成T'触发器,再由低位触发器的Q 端和高一位的CP 端相连接。

实验十一 同步计数器的逻辑功能测试及应用

实验十一计数器74LS161的逻辑功能测试及应用 一、实验目的 1、熟悉集成计数器触的逻辑功能和各控制端作用。 2、掌握集成计数器逻辑功能测试方法。 3、掌握计数器使用方法。 二、实验设备与器件 1、实验设备:DLBS系列数字逻辑实验箱1个,MF47型万用表1台。 2、实验器件:74LS161集成同步计数器×2片,四二输入与非门74LS00×1块。 三、实训器件说明 1、 74LS161集成同步计数器 74LS161是一种同步四位二进制同步加法计数器,计数范围是0~15,具有异步清零、同步置数、保持和二进制加法计数等逻辑功能。图11.1所示为74LS161的管脚图和逻 辑功能示意图。图中CR端是异步清零控制端,当CR=0时,输出Q3Q2Q1Qo全为零,实现异步清除功能。LD是同步置数控制端,当CR=1,LD=0,且CP=CP↑时,输出 Q3Q2Q1Qo=D3D2D1Do,实现同步预置数功能。CTP和CTT是计数控制端,CP是上升沿有效的时钟脉冲输入端,D0~D3是并行数据输入端,Q0~Q3是计数输出端,CO是进位输出端,且进位输出信号CO=CTt=Q3Q2Q1Qo ,它可以用来实现电路的级联扩展。 74LS161的逻辑功能如表6.9所示。表中各控制输入端按优先级从高到低的次序排列, 依次为CR、LD、CTp和CTt,其中CR优先级最高。计数输出Q3为最高位,Qo为最低 位。 输入输出 CR LD CTp CTt CP D3 D2 D1 Do Q3 Q2 Q1 Qo 0 ××××××××0 0 0 0 1 0 ××↑D3 D 2 D1 D0 D 3 D2 D1 D0 1 1 0 ××××××保持 1 1 ×0 ×××××保持 1 1 1 1 ↑××××二进制加法计数

数字电路实验报告——24进制计数器逻辑功能及其应用

24进制计数器逻辑功能及其应用 一、实验目的: 1. 熟悉中等规模集成电路计数器74LS160的逻辑功能,使用方法及应用。 2. 掌握构成计数器的方法。 二、实验设备及器件: 1. 数字逻辑电路实验板1片 2. 74HC90同步加法二进制计数器2片 3. 74HC00二输入四与非门1片 4. 74HC04 非门1片 三、实验原理: 计数器是一个用以实现计数功能的时序部件,它不仅可用来计脉冲数,还常用作数字系统的定时、分频和执行数字运算以及其它特定的逻辑功能。 计数器种类很多。按构成计数器中的各触发器是否使用一个时钟脉冲源来分,有同步计数器和异步计数器。根据计数制的不同,分为二进制计数器,十进制计数器和任意进制计数器。根据计数的增减趋势,又分为加法、减法和可逆计数器。还有可预置数和可编程序功能计数器等等。目前,无论是TTL还是CMOS集成电路,都有品种较齐全的中规模集成计数器。使用者只要借助于器件手册提供的功能表和工作波形图以及引出端的排列,就能正确地运用这些器件。 集成计数器74HC90是二-五-十进制计数器,其管脚排列如图。

四、实验内容

实验电路图: 用74HC00与非门和74HC04的非门串联,构成与门。74HC00的引脚图和真值表如图:

74HC04的引脚图与真值表如图: 按实验电路图,参照各个芯片的引脚图和真值表,连接电路。其中Q0到Q3分别连到数码管的对应的D0到D3,CP0端接到时钟脉冲,然后检查电路无误后,加电源,观察现象。实验结果:个位数码管随时间显示0、1、2、3、4、5、6、7、8、9,十位数码管显示个位进位计数结果,按0、1、2变化,当数字增加到23后,数码管自动清零,又从零开始变化。 五、实验心得: 本次实验,通过对计数器工作过程的探索,基本上了解了数码计数器的工作原理,以及74HC160的数字特点,让我更进一步掌握了如何做好数字电子数字实验,也让我认识到自身理论知识的不足和实践能力的差距,以及对理论结合实践的科学方法有了更深刻理解。

计数器及其应用

目录 摘要 (1) Abstract (1) 1.计数器的定义及其分类 (2) 2.计数器的分析方法 (2) 3.几种集成计数器 (4) 3.1 74161的功能 (6) 3.2 74LS193的功能 (8) 3.3 74LS290的功能 (10) 4.计数器的应用领域 (12) 参考文献 (12)

计数器及其应用 学生姓名:胡亚静学号:20095044079 物理电子工程学院电子科学与技术专业 指导老师:马建忠职称:讲师 摘要:计数器是数字系统中使用的最多的时序电路,它主要由具有记忆功能的触发器构成。计数器不仅能用于对时钟脉冲计数,还可以用于分频、定时、产生节拍脉冲和脉冲序列以及进行运算等,在计算机及各种数字仪表中,都得到了广泛的应用。目前已有若干集成计数器产品。 关键词:计数器;状态方程;输出方程;功能表;时序图 Abstract: Counter is the most widely used sequential circuit in digital system, it is mainly made up of triggers that has the ability to memory. Counter can be not only used to count the clock pulses, but also be used for frequency, timing , tempo pulse and pulse train generation as well as operations and so on, it has been widely used in the computer and various digital instruments. Nowadays there are several kinds of integrated counter products Keywords: counter; equation of state; output equation; table for features; timing diagram

计数器及其应用

实验十计数器及其应用 一、实验目的: 1、学习用集成触发器构成计数器的方法; 2、掌握中规模集成计数器的使用方法及功能测试方法; 3、运用集成计数器构成1/N分频器。 二、实验原理: 计数器是一种用以实现计数功能的时序部件,它不仅可用来计脉冲数,还常用作数字系统的定时、分频和执行数字运算以及其它特定的逻辑功能。 计数器的种类很多。按构成计数器中的各触发器是否使用于一个时钟脉冲源来分,有同步计数器和异步计数器。根据计数体制的不同,分为二进制计数器、十进制计数器和任意进制计数器。根据计数的增减趋势,又分为加法、减法和可逆计数器。还有可预置数和可编程序功能计数器等等。目前,无论是TTL还是CMOS集成电路,都有品种较齐全的中规模集成计数电路。使用者只要借助于器件手册提供的功能表和工作波形图以及引出端的排列,就

图中LD------置数端;CP U-----加计数端;CP D-----减计数端; 非同步进位输出端;BO------非同步借位输出端; D0、D1、D2、D3-----计数器输入端; Q0、Q1、Q2、Q3-----数据输出端;CR-----清零端。 表10-1 74LS192(同CC40192,二者可互换使用)的功能如表10-1所示,说明如下: 当清零端CR为高电平“1”时,计数器直接清零;CR置低电平则执行其它功能。 当CR为低电平,置数端LD也为低电平时,数据直接从置数端D0、D1、D2、D3置入计数器。 当CR为低电平,LD为高电平时,执行计数功能。执行加计数时,减计数端CP D接高电平,计数脉冲由CP U输入;在计数脉冲上升沿进行8421码的十进制加法计数。执行减计数时,加计数端CP U接高电平,计数脉冲由减计数端CP D输入,表10-2为8421码十进制加、减计数器的状态转换表。 表10-2 加计数 减计数 3、计数器的级联使用 一个十进制计数器只能表示0-9十个数,为了扩大计数器计数范围,常将多个十进制计数器级联使用。 同步计数器往往设有进位(或借位)输出端,故可选用其进位(或借位)输出信号驱动下一级计数器。 图10-3 (a)是由74LS192利用进位输出CO控制高一位的CP U端构成的加计数级联图。图(b)是由CC40160利用进位输出Q CC控制高一位的状态控制端S1、S2的级联图。

相关文档