文档库 最新最全的文档下载
当前位置:文档库 › 半导体行业专业术语

半导体行业专业术语

半导体行业专业术语
半导体行业专业术语

半导体行业专业术语.txt都是一个山的狐狸,你跟我讲什么聊斋,站在离你最近的地方,眺望你对别人的微笑,即使心是百般的疼痛只为把你的一举一动尽收眼底.刺眼的白色,让我明白什么是纯粹的伤害。悬赏太少了吧~嘎嘎不过尽管如此还是分享下俺的资料(有19800个字,这里发不下,如果还需要就给我小消息~~~):)

移动通讯词汇(中英)

A

安全地线 safe ground wire

安全特性 security feature

安装线 hook-up wire

按半周进行的多周期控制 multicycle controlled by half-cycle

按键电话机 push-button telephone set

按需分配多地址 demand assignment multiple access(DAMA)

按要求的电信业务 demand telecommunication service

按组编码 encode by group

B

八木天线 Yagi antenna

白噪声 white Gaussian noise

白噪声发生器 white noise generator

半波偶极子 halfwave dipole

半导体存储器 semiconductor memory

半导体集成电路 semiconductor integrated circuit

半双工操作 semi-duplex operation

半字节 Nib

包络负反馈 peak envelop negative feed-back

包络延时失真 envelop delay distortion

薄膜 thin film

薄膜混合集成电路 thin film hybrid integrated circuit

保护比(射频) protection ratio (RF)

保护时段 guard period

保密通信 secure communication

报头 header

报文分组 packet

报文优先等级 message priority

报讯 alarm

备用工作方式 spare mode

背景躁声 background noise

倍频 frequency multiplication

倍频程 actave

倍频程滤波器 octave filter

被呼地址修改通知 called address modified notification

被呼用户优先 priority for called subscriber

本地PLMN local PLMN

本地交换机 local exchange

本地移动用户身份 local mobile station identity ( LMSI)

本地震荡器 local oscillator

比功率(功率密度) specific power

比特 bit

比特并行 bit parallel

比特号码 bit number (BN)

比特流 bit stream

比特率 bit rate

比特误码率 bit error rate

比特序列独立性 bit sequence independence

必要带宽 necessary bandwidth

闭环电压增益 closed loop voltage gain

闭环控制 closed loop control

闭路电压 closed circuit voltage

边瓣抑制 side lobe suppression

边带 sideband

边带非线性串扰 sideband non-linear crosstalk

边带线性串扰 sideband linear crosstalk

边带抑制度 sideband suppression

边角辐射 boundary radiation

编号制度 numbering plan

编解码器 codec

编码 encode

编码律 encoding law

编码器 encoder

编码器输出 encoder output

编码器总工作时间 encoder overall operate time

编码效率 coding efficiency

编码信号 coded signal

编码约束长度 encoding constraint length

编码增益 coding gain

编译程序 compiler

鞭状天线 whip antenna

变频器 converter

变频损耗 converter conversion loss

变容二极管 variable capacitance diode

变形交替传号反转 modified alternate mark inversion

便携电台 portable station

便携设备 portable equipment

便携式载体设备 portable vehicle equipment

标称调整率(标称塞入率) nominal justification rate (nominal stuffing rate)

标称值 nominal value

标称呼通概率 nominal calling probability

标准码实验信号 standard code test signal (SCTS)

标准模拟天线 standard artificial antenna

标准频率 standard frequency

标准时间信号发射 standard-time-signal emission

标准实验调制 standard test modulation

标准输出功率 standard power output

标准输入信号 standard input signal

标准输入信号电平 standard input-signal level

标准输入信号频率 standard input-signal frequency

标准信躁比 standard signal to noise

表面安装 surface mounting

表示层 presentation layer

并串变换器 parallel-serial converter (serializer)

并馈垂直天线 shunt-fed vertical antenna

并行传输 parallel transmission

并行终端 parallel terminal

拨号错误概率 dialing mistake probability

拨号后延迟 post-dialing delay

拨号交换机 dial exchange

拨号线路 dial-up line

拨号音 dialing tone

拨号终端 dial-up terminal

波动强度(在给定方向上的) cymomotive force (c. m. f)

波段覆盖 wave coverage

波峰焊 wave soldering

波特 baud

泊送过程 Poisson process

补充业务 supplementary service (of GSM)

补充业务登记 supplementary service registration

补充业务询问 supplementary service interrogation

补充业务互连 supplementary service interworking

捕捉区(一个地面接收台) capture area (of a terrestrial receiving station) 捕捉带 pull-in range

捕捉带宽 pull-in banwidth

捕捉时间 pull-in time

不连续发送 discontinuous transmission (DTX)

不连续干扰 discontinuous interference

不连续接收 discontinuous reception (DRX)

不确定度 uncertainty

步谈机 portable mobile station

C

采样定理 sampling theorem

采样频率 sampling frequency

采样周期 sampling period

参考边带功率 reference side band power

参考差错率 reference error ratio

参考当量 reference equivalent

参考点 reference point

参考结构 reference configuration

参考可用场强 reference usable fiend-strength

参考灵敏度 reference sensibility

参考频率 reference frequency

参考时钟 reference clock

参考输出功率 reference output power

残余边带调制 vestigial sideband modulation

残余边带发射 vestigial-sideband emission

操作维护中心 operation maintenance center (OMC)

操作系统 operation system (OS)

侧音消耗 sidetone loss

层2转发 layer 2 relay (L2R)

插入组装 through hole pachnology

插入损耗 insertion loss

查号台 information desk

差错控制编码 error control coding

差错漏检率 residual error rate

差分脉冲编码调制(差分脉码调制) differential pulse code modulation (DPCM) 差分四相相移键控 differential quadrature phase keying (DQPSK)

差分相移键控 differential phase keying (DPSK)

差模电压,平衡电压 differential mode voltage, symmetrical voltage

差拍干扰 beat jamming

差频失真 difference frequency distortion

长期抖动指示器 long-term flicker indicator

长期频率稳定度 long-term frequency stability

场强灵敏度 field intensity sensibility

场效应晶体管 field effect transistor (FET)

超长波通信 myriametric wave communication

超地平对流层传播 transhorizon tropospheric

超地平无线接力系统 transhorizon radio-relay system

超高帧 hyperframe

超帧 superframe

超大规模集成电路 very-large scale integrated circuit (VLSI)

超再生接收机 super-regenerator receiver

车载电台 vehicle station

撤消 withdrawal

成对不等性码(交替码、交变码)

paired-disparity code (alternative code, alternating code)

承载业务 bearer service

城市交通管制系统 urban traffic control system

程序设计技术 programming technique

程序设计环境 programming environment

程序优化 program optimization

程序指令 program command

充电 charge

充电率 charge rate

充电效率 charge efficiency

充电终止电压 end-of charge voltage

抽样 sampling

抽样率 sample rate

初级分布线路 primary distribution link

初始化 initialization

处理增益 processing gain

传播时延 propagation delay

传播系数 propagation coefficient

传导干扰 conducted interference

传导杂散发射 conducted spurious emission

传递函数 transfer function

传递时间 transfer time

传声器 microphone

传输保密 transmission security

传输层协议 transport layer protocol

传输集群 transmission trunking

传输结束字符 end of transmission character

传输媒体 transmission medium

传输损耗 transmission loss

传输损耗(无线线路的) transmission loss (of a radio link)

传输通道 transmission path

传输信道 transmission channel

传真 facsimile, FAX

船舶地球站 ship earth station

船舶电台 ship station

船舶移动业务 ship movement service

船上通信电台 on-board communication station ,ship communication station 船用收音机 ship radio

串并变换机 serial to parallel (deserializer)

串并行变换 serial-parallel conversion

串话 crosstalk

垂直方向性图 vertical directivity pattern

唇式传声器 lip microphone

磁屏蔽 magnetic shielding

次级分布线路 secondary distribution link

猝发差错 burst error

猝发点火控制 burst firing control

存储程序控制交换机 stored program controlled switching system

D

大规模集成电路 large scale integrated circuit (LSI)

大信号信躁比 signal-to-noise ratio of strong signal

带成功结果的常规操作 normal operation with successful outcome 带宽 bandwidth

带内导频单边带 pilot tone-in-band single sideband

带内谐波 in-band harmonic

带内信令 in-band signalling

带内躁声 in-band noise

带通滤波器 band-pass filter

带外发射 out-of-band emission

带外功率 out-of-band power

带外衰减 attenuation outside a channel

带外信令 out-band signalling

带状线 stripline

单边带发射 single sideband (SSB) emission

单边带发射机 single side-band (SSB) transmitter

单边带调制 single side band modulation

单边带解调 single side band demodulation

单边带信号发生器 single side band signal generaltor

单端同步 single-ended synchronization

单工、双半工 simplex, halfduplex

单工操作 simplex operation

单工无线电话机 simplex radio telephone

单呼 single call

单频双工 single frequency duplex

单频信令 single frequency signalling

单相对称控制 symmetrical control (single phase)

单相非对称控制 asymmetrical control (single phase)

单向 one-way

单向的 unidirectional

单向控制 unidirectional control

单信道地面和机载无线电分系统 SINCGARS

单信道无绳电话机 single channel cordless telephone

单信号方法 single-signal method

单音 tone

单音脉冲 tone pulse

单音脉冲持续时间 tone pulse duration

单音脉冲的单音频率 tone frequency of tone pulse

单音脉冲上升时间 tone pulse rise time

单音脉冲下降时间 tone pulse decay time

单音制 individual tone system

单元电缆段(中继段) elementary cable section (repeater section)

单元再生段 elementary regenerator section (regenerator section)

单元增音段,单元中继段 elementary repeater section

当被呼移动用户不回答时的呼叫转移 call forwarding on no reply (CFNRy)

当被呼移动用户忙时的呼叫转 calling forwarding on mobile subscriber busy (CFB) 当漫游到原籍PLMN国家以外时禁止所有入呼 barring of incoming calls when roaming outside the home PLMN country (BIC-Roam)

当前服务的基站 current serving BS

当无线信道拥挤时的呼叫转移

calling forward on mobile subscriber not reachable (CENRc)

刀型天线 blade antenna

导频 pilot frequency

导频跌落pilot fall down

倒L型天线 inverted-L antenna

等步的 isochronous

等幅电报 continuous wave telegraph

等权网(互同步网) democratic network (mutually synchronized network)

等效比特率 equivalent bit rate

等效地球半径 equivalent earth radius

等效二进制数 equivalent binary content

等效全向辐射功率 equivalent isotropically radiated power (e. i. r. p.)

等效卫星线路躁声温度 equivalent satellite link noise temperature

低轨道卫星系统 LEO satellite mobile communication system

低气压实验 low atmospheric pressure test

低时延码激励线性预测编码 low delay CELP (LD-CELP)

低通滤波器 low pass filter

低温实验 low temperature test

低躁声放大器 low noise amplifier

地-空路径传播 earth-space path propagation

地-空通信设备 ground/air communication equipment

地波 ground wave

地面连线用户 land line subscriber

地面无线电通信 terrestrial radio communication

地面站(电台) terrestrial station

第N次谐波比 nth harmonic ratio

第二代无绳电话系统 cordless telephone system second generation (CT-2)

第三代移动通信系统 third generation mobile systems

点波束天线 spot beam antenna

点对地区通信 point-area communication

点对点通信 point-point communication

点至点的GSM PLMN连接 point to point GSM PLMN

电报 telegraphy

电报电码 telegraph code

电波衰落 radio wave fading

电池功率 power of battery

电池能量 energy capacity of battery

电池容量 battery capacity

电池组 battery

电磁波 electromagnetic wave

电磁波反射 reflection of electromagnetic wave

电磁波饶射 diffraction of electromagnetic wave

电磁波散射 scattering of electromagnetic wave

电磁波色射 dispersion of electromagnetic wave

电磁波吸收 absorption of electromagnetic wave

电磁波折射 refraction of electromagnetic wave

电磁场 electromagnetic field

电磁发射 electromagnetic field

电磁辐射 electromagnetic emission

电磁干扰 electromagnetic interference (EMI)

电磁感应 electromagnetic induction

电磁环境 electromagnetic environment

电磁兼容性 electromagnetic compatibility (EMC)

电磁兼容性电平 electromagnetic compatibility level 电磁兼容性余量 electromagnetic compatibility margin 电磁脉冲 electromagnetic pulse (EMP)

电磁脉冲干扰 electromagnetic pulse jamming

电磁敏感度 electromagnetic susceptibility

电磁能 electromagnetic energy

电磁耦合 electromagnetic coupling

电磁屏蔽 electromagnetic shielding

电磁屏蔽装置 electromagnetic screen

电磁骚扰 electromagnetic disturbance

电磁噪声 electromagnetic noise

电磁污染 electromagnetic pollution

电动势 electromotive force (e. m. f.)

电话机 telephone set

电话局容量 capacity of telephone exchange

电话型电路 telephone-type circuit

电话型信道 telephone-type channel

电离层 ionosphere

电离层波 ionosphere wave

电离层传播 ionosphere propagation

电离层反射 ionosphere reflection

电离层反射传播 ionosphere reflection propagation

电离层散射传播 ionosphere scatter propagation

电离层折射 ionosphere refraction

电离层吸收 ionosphere absorption

电离层骚扰 ionosphere disturbance

电流探头 current probe

电路交换 circuit switching

电屏蔽 electric shielding

电视电话 video-telephone, viewphone, visual telephone

电台磁方位 magnetic bearing of station

电台方位 bearing of station

电台航向 heading of station

电文编号 message numbering

电文队列 message queue

电文格式 message format

电文交换 message switching

电文交换网络 message switching network

电文结束代码 end-of-message code

电文路由选择 message routing

电小天线 electronically small antenna

电信管理网络 telecommunication management network (TMN)

电信会议 teleconferencing

电压变化 voltage change

电压变化持续时间 duration of a voltage change

电压变化的发生率 rate of occurrence of voltage changes

电压变化时间间隔 voltage change interval

电压波动 voltage fluctuation

电压波动波形 voltage fluctuation waveform

电压波动量 magnitude of a voltage fluctuation

电压不平衡 voltage imbalance, voltage unbalance

电压浪涌 voltage surge

电压骤降 voltage dip

电源 power supply

电源电压调整率 line regulation

电源抗扰性 mains immunity

电源持续工作能力 continuous operation ability of the power supply 电源去耦系数 mains decoupling factor

电源骚扰 mains disturbance

电子干扰 electronic jamming

电子工业协会 Electronic Industries Association (EIA)

电子系统工程 electronic system engineering

电子自动调谐 electronic automatic tuning

电子组装 electronic packaging

电阻温度计 resistance thermometer

跌落试验 fall down test

顶部加载垂直天线 top-loaded vertical antenna

定长编码 block code

定期频率预报 periodical frequency forecast

定时 clocking

定时超前 timing advance

定时电路 timing circuit

定时恢复(定时抽取) timing recovery (timing extration)

定时截尾试验 fixed time test

定时信号 timing signal

定数截尾试验 fixed failure number test

定向天线 directional antenna

定型试验 type test

动态频率分配 dynamic frequency allocation

动态信道分配 dynamic channel allocation

动态重组 dynamic regrouping

动态自动增益控制特性 dynamic AGC characteristic

抖动 jitter

独立边带 independent sideband

独立故障 independent fault

端到端业务 teleservice

短波传播 short wave propagation

短波通信 short wave communication

短路保护 short-circuit protection

短期抖动指示器 short-term flicker indicator

短期频率稳定度 short-term frequency stability

短时间中断(供电电压) short interruption (of supply voltage)

段终端 section termination

对称二元码 symmetrical binary code

对地静止卫星 geostationary satellite

对地静止卫星轨道 geostationary satellite orbit

对地同步卫星 geosynchronous satellite

对讲电话机 intercommunicating telephone set

对空台 aeronautical station

对流层 troposphere

对流层波道 troposphere duct

对流层传播 troposphere propagation

对流层散射传播 troposphere scatter propagation

多次调制 multiple modulation

多点接入 multipoint access

多电平正交调幅 multi-level quadrature amplitude modulation (QAM) 多分转站网 multidrop network

多服务器队列 multiserver queue

多工 multiplexing

多工器 nultiplexer

多功能系统 MRS

多级处理 multilevel processing

多级互连网络 multistage interconnecting network

多级卫星线路 multi-satellite link

多径 multipath

多径传播 multipath propagation

多径传播函数 nultipath propagation function

多径分集 multipath diversity

多径时延 multipath delay

多径衰落 multipath fading

多径效应 multipath effect

多路复接 multiplexing

多路接入 multiple access

多路信道 multiplexor channel

多脉冲线性预测编码 multi-pulse LPC (MPLC)

多频信令 multifrequency signalling

多普勒频移 Doppler shift

多跳路径 multihop path

多信道选取 multichannel access (MCA)

多信道自动拨号移动通信系统

multiple-channel mobile communication system with automatic dialing 多优先级 multiple priority levels

多帧 multiframe

多址呼叫 multiaddress call

多址联接 multiple access

多重时帧 multiple timeframe

多用户信道 multi-user channel

E

额定带宽 rated bandwidth

额定射频输出功率 rated radio frequency output power

额定使用范围 rated operating range

额定音频输出功率 rated audio-frequency output power

额定值 rated value

爱尔兰 erlang

恶意呼叫识别 malicious call identification (MCI)

耳机(受话器) earphone

耳机额定阻抗 rated impedance of earphone

二十进制码 binary-coded decimal (BCD) code

二十进制转换 binary-to-decimal conversion

二十六进制转换 binary-to-hexadecimal conversion

二进制码 binary code

二进制频移键控 binary frequency shift keying (BFSK)

二进制数 binary figure

二频制位 binary digit(bit)

二频制 two-frequency system

二维奇偶验码 horizontal and vertical parity check code

二线制 two-wire system

二相差分相移键控 binary different phase shift keying (BDPSK) 二相相移键控 binary phase shift keying (BPSK)

F

发报机 telegraph transmitter

发射 emisssion

发射(或信号)带宽 bandwidth of an emission (or a signal)

发射机 transmitter

发射机边带频谱 transmitter sideband spectrum

发射机额定输出功率 rated output power of transmitter

发射机合路器 transmitter combiner

发射机冷却系统 cooling system of transmitter

发射机启动时间 transmitter attack time

发射机效率 transmitter frequency

发射机杂散躁声 spurious transmitter noise

发射机之间的互调 iner-transmitter intermodulation

发射机对答允许频(相)偏

transmitter maximum permissible frequency(phase) deviation 发射类别 class of emission

发射频段 transmit frequency band

发射余量 emission margin

发送 sending

发送响度评定值 send loudness rating (SLR)

繁忙排队/自动回叫 busy queuing/ callback

反馈控制系统 feedback control system

反射功率 reflection power

反射卫星 reflection satellite

反向话音通道 reverse voice channel (RVC)

反向控制信道 reverse control channel (RECC)

泛欧数字无绳电话系统 digital European cordless telephone

方舱 shelter

方向性系数 directivity of an antenna

防爆电话机 explosion-proof telephone set

防潮 moisture protection

防腐蚀 corrosion protection

防霉 mould proof

仿真头 artificial head

仿真耳 artificial ear

仿真嘴 artificial mouth

仿真天线 dummy antenna

放大器 amplifier

放大器线性动态范围 linear dynamic range of amplifier

放电 discharge

放电电压 discharge voltage

放电深度 depth of discharge

放电率 discharge rate

放电特性曲线 discharge character curve

非等步的 anisochronous

非归零码 nonreturn to zero code (NRZ)

非均匀编码 nonuniform encoding

非均匀量化 nonuniform quantizing

非连续干扰 discontinuous disturbance

“非”门 NOT gate

非强占优先规则 non-preemptive priority queuing discipline

非受控滑动 uncontrolled slip

非线性电路 nonlinear circuit

非线性失真 nonliear distortion

非线性数字调制 nonlinear digital modulation

非占空呼叫建立 off-air-call-set-up (OACSU)

非专用控制信道 non-dedicated control channel

非阻塞互连网络 non-blocking interconnection network

分贝 decibel (dB)

分辨力 resolution

分布参数网络 distributed parameter network

分布式功能 distributed function

分布式数据库 distributed database

分别于是微波通信系统 distributed microwave communication system 分布式移动通信系统 distributed mobile communication system

分布路线 distribution link

分段加载天线 sectional loaded antenna

分机 extension

分集 diversity

分集改善系数 diversity improvement factor

分集间隔 diversity separation

分集增益 diversity gain

分集接收 diversity reception

分接器 demultiplexer

分频 frequency division

分散定位 distributed chann

13

半导体名词解释

1. 何谓PIE PIE的主要工作是什幺 答:Process Integration Engineer(工艺整合工程师), 主要工作是整合各部门的资源, 对工艺持续进行改善, 确保产品的良率(yield)稳定良好。 2. 200mm,300mm Wafer 代表何意义 答:8吋硅片(wafer)直径为200mm , 直径为300mm硅片即12吋. 3. 目前中芯国际现有的三个工厂采用多少mm的硅片(wafer)工艺未来北京的Fab4(四厂)采用多少mm的wafer工艺 答:当前1~3厂为200mm(8英寸)的wafer, 工艺水平已达工艺。未来北京厂工艺wafer将使用300mm(12英寸)。 4. 我们为何需要300mm 答:wafer size 变大,单一wafer 上的芯片数(chip)变多,单位成本降低200→300 面积增加倍,芯片数目约增加倍 5. 所谓的um 的工艺能力(technology)代表的是什幺意义 答:是指工厂的工艺能力可以达到um的栅极线宽。当栅极的线宽做的越小时,整个器件就可以变的越小,工作速度也越快。 6. 从>>>> 的technology改变又代表的是什幺意义 答:栅极线的宽(该尺寸的大小代表半导体工艺水平的高低)做的越小时,工艺的难度便相对提高。从-> -> -> -> 代表着每一个阶段工艺能力的提升。 7. 一般的硅片(wafer)基材(substrate)可区分为N,P两种类型(type),何谓N, P-type wafer 答:N-type wafer 是指掺杂negative元素(5价电荷元素,例如:P、As)的硅片, P-type 的wafer 是指掺杂positive 元素(3价电荷元素, 例如:B、In)的硅片。 8. 工厂中硅片(wafer)的制造过程可分哪几个工艺过程(module) 答:主要有四个部分:DIFF(扩散)、TF(薄膜)、PHOTO(光刻)、ETCH(刻蚀)。其中DIFF又包括FURNACE(炉管)、WET(湿刻)、IMP(离子注入)、RTP(快速热处理)。TF包括PVD(物理气相淀积)、CVD(化学气相淀积) 、CMP(化学机械研磨)。硅片的制造就是依据客户的要求,不断的在不同工艺过程(module)间重复进行的生产过程,最后再利用电性的测试,确保产品良好。 9. 一般硅片的制造常以几P几M 及光罩层数(mask layer)来代表硅片工艺的时间长短,请问几P几M及光罩层数(mask layer)代表什幺意义 答:几P几M代表硅片的制造有几层的Poly(多晶硅)和几层的metal(金属导线).一般的逻辑产品为1P6M( 1层的Poly和6层的metal)。而

半导体行业的英文单词和术语

半导体行业的英文单词和术语 A 安全地线safe ground wire 安全特性security feature 安装线hook-up wire 按半周进行的多周期控制multicycle controlled by half-cycle 按键电话机push-button telephone set 按需分配多地址demand assignment multiple access(DAMA) 按要求的电信业务demand telecommunication service 按组编码encode by group B 八木天线Yagi antenna 白噪声white Gaussian noise 白噪声发生器white noise generator 半波偶极子halfwave dipole 半导体存储器semiconductor memory 半导体集成电路semiconductor integrated circuit 半双工操作semi-duplex operation 半字节Nib 包络负反馈peak envelop negative feed-back 包络延时失真envelop delay distortion 薄膜thin film 薄膜混合集成电路thin film hybrid integrated circuit 保护比(射频)protection ratio (RF) 保护时段guard period 保密通信secure communication 报头header 报文分组packet 报文优先等级message priority 报讯alarm 备用工作方式spare mode 背景躁声background noise 倍频frequency multiplication 倍频程actave 倍频程滤波器octave filter 被呼地址修改通知called address modified notification 被呼用户优先priority for called subscriber 本地PLMN local PLMN 本地交换机local exchange 本地移动用户身份local mobile station identity ( LMSI) 本地震荡器local oscillator

半导体专业术语英语..

1. acceptance testing (WAT: wafer acceptance testing) 2. acceptor: 受主,如B,掺入Si中需要接受电子 3. ACCESS:一个EDA(Engineering Data Analysis)系统 4. Acid:酸 5. Active device:有源器件,如MOS FET(非线性,可以对信号放大) 6. Align mark(key):对位标记 7. Alloy:合金 8. Aluminum:铝 9. Ammonia:氨水 10. Ammonium fluoride:NH4F 11. Ammonium hydroxide:NH4OH 12. Amorphous silicon:α-Si,非晶硅(不是多晶硅) 13. Analog:模拟的 14. Angstrom:A(1E-10m)埃 15. Anisotropic:各向异性(如POLY ETCH) 16. AQL(Acceptance Quality Level):接受质量标准,在一定采样下,可以95%置信度通过质量标准(不同于可靠性,可靠性要求一定时间后的失效率) 17. ARC(Antireflective coating):抗反射层(用于METAL等层的光刻) 18. Antimony(Sb)锑 19. Argon(Ar)氩 20. Arsenic(As)砷 21. Arsenic trioxide(As2O3)三氧化二砷 22. Arsine(AsH3) 23. Asher:去胶机 24. Aspect ration:形貌比(ETCH中的深度、宽度比) 25. Autodoping:自搀杂(外延时SUB的浓度高,导致有杂质蒸发到环境中后,又回掺到外延层) 26. Back end:后段(CONTACT以后、PCM测试前) 27. Baseline:标准流程 28. Benchmark:基准 29. Bipolar:双极 30. Boat:扩散用(石英)舟 31. CD:(Critical Dimension)临界(关键)尺寸。在工艺上通常指条宽,例如POLY CD 为多晶条宽。 32. Character window:特征窗口。用文字或数字描述的包含工艺所有特性的一个方形区域。 33. Chemical-mechanical polish(CMP):化学机械抛光法。一种去掉圆片表面某种物质的方法。 34. Chemical vapor deposition(CVD):化学汽相淀积。一种通过化学反应生成一层薄膜的工艺。 35. Chip:碎片或芯片。 36. CIM:computer-integrated manufacturing的缩写。用计算机控制和监控制造工艺的一种综合方式。 37. Circuit design :电路设计。一种将各种元器件连接起来实现一定功能的技术。 38. Cleanroom:一种在温度,湿度和洁净度方面都需要满足某些特殊要求的特定区域。

半导体名词解释

1. 何谓PIE? PIE的主要工作是什幺? 答:Process Integration Engineer(工艺整合工程师), 主要工作是整合各部门的资源, 对工艺持续进行改善, 确保产品的良率(yield)稳定良好。 2. 200mm,300mm Wafer 代表何意义? 答:8吋硅片(wafer)直径为 200mm , 直径为 300mm硅片即12吋. 3. 目前中芯国际现有的三个工厂采用多少mm的硅片(wafer)工艺?未来北京的Fab4(四厂)采用多少mm的wafer工艺? 答:当前1~3厂为200mm(8英寸)的wafer, 工艺水平已达0.13um工艺。未来北京厂工艺wafer将使用300mm(12英寸)。 4. 我们为何需要300mm? 答:wafer size 变大,单一wafer 上的芯片数(chip)变多,单位成本降低 200→300 面积增加2.25倍,芯片数目约增加2.5倍 5. 所谓的0.13 um 的工艺能力(technology)代表的是什幺意义? 答:是指工厂的工艺能力可以达到0.13 um的栅极线宽。当栅极的线宽做的越小时,整个器件就可以变的越小,工作速度也越快。 6. 从0.35um->0.25um->0.18um->0.15um->0.13um 的technology改变又代表的是什幺意义? 答:栅极线的宽(该尺寸的大小代表半导体工艺水平的高低)做的越小时,工艺的难度便相对提高。从0.35um -> 0.25um -> 0.18um ->

0.15um -> 0.13um 代表着每一个阶段工艺能力的提升。 7. 一般的硅片(wafer)基材(substrate)可区分为N,P两种类型(type),何谓 N, P-type wafer? 答:N-type wafer 是指掺杂 negative元素(5价电荷元素,例如:P、As)的硅片, P-type 的wafer 是指掺杂 positive 元素(3价电荷元素, 例如:B、In)的硅片。 8. 工厂中硅片(wafer)的制造过程可分哪几个工艺过程(module)? 答:主要有四个部分:DIFF(扩散)、TF(薄膜)、PHOTO(光刻)、ETCH(刻蚀)。其中DIFF又包括FURNACE(炉管)、WET(湿刻)、IMP(离子注入)、RTP(快速热处理)。TF包括PVD(物理气相淀积)、CVD(化学气相淀积) 、CMP(化学机械研磨)。硅片的制造就是依据客户的要求,不断的在不同工艺过程(module)间重复进行的生产过程,最后再利用电性的测试,确保产品良好。 9. 一般硅片的制造常以几P几M 及光罩层数(mask layer)来代表硅片工艺的时间长短,请问几P几M及光罩层数(mask layer)代表什幺意义? 答:几P几M代表硅片的制造有几层的Poly(多晶硅)和几层的metal(金属导线).一般0.15um 的逻辑产品为1P6M( 1层的Poly和6层的metal)。而 光罩层数(mask layer)代表硅片的制造必需经过几次的PHOTO(光刻). 10. Wafer下线的第一道步骤是形成start oxide 和zero layer? 其中start oxide 的目的是为何? 答:①不希望有机成分的光刻胶直接碰触Si 表面。 ②在laser刻号过程中,亦可避免被产生的粉尘污染。 11. 为何需要zero layer? 答:芯片的工艺由许多不同层次堆栈而成的, 各层次之间以zero layer当做对准的基准。 12. Laser mark是什幺用途? Wafer ID 又代表什幺意义? 答:Laser mark 是用来刻wafer ID, Wafer ID 就如同硅片的身份证一样,一个ID代表一片硅片的身份。 13. 一般硅片的制造(wafer process)过程包含哪些主要部分? 答:①前段(frontend)-元器件(device)的制造过程。 ②后段(backend)-金属导线的连接及护层(passivation) 14. 前段(frontend)的工艺大致可区分为那些部份? 答:①STI的形成(定义AA区域及器件间的隔离)

半导体行业专业词汇

半导体行业专业词汇 . acceptance testing (WAT: wafer acceptance testing) 2. acceptor: 受主,如B,掺入Si中需要接受电子 3. ACCESS:一个EDA(Engineering Data Analysis)系统 4. Acid:酸 5. Active device:有源器件,如MOS FET(非线性,可以对信号放大) 6. Align mark(key):对位标记 7. Alloy:合金 8. Aluminum:铝 9. Ammonia:氨水 10. Ammonium fluoride:NH4F 11. Ammonium hydroxide:NH4OH 12. Amorphous silicon:α-Si,非晶硅(不是多晶硅) 13. Analog:模拟的 14. Angstrom:A(1E-10m)埃 15. Anisotropic:各向异性(如POLY ETCH) 16. AQL(Acceptance Quality Level):接受质量标准,在一定采样下,可以95%置信度通过质量标准(不同于可靠性,可靠性要求一定时间后的失效率) 17. ARC(Antireflective coating):抗反射层(用于METAL等层的光刻) 18. Antimony(Sb)锑 19. Argon(Ar)氩 20. Arsenic(As)砷 21. Arsenic trioxide(As2O3)三氧化二砷 22. Arsine(AsH3) 23. Asher:去胶机 24. Aspect ration:形貌比(ETCH中的深度、宽度比) 25. Autodoping:自搀杂(外延时SUB的浓度高,导致有杂质蒸发到环境中后,又回掺到外延层) 26. Back end:后段(CONTACT以后、PCM测试前) 27. Baseline:标准流程 28. Benchmark:基准 29. Bipolar:双极 30. Boat:扩散用(石英)舟 31. CD:(Critical Dimension)临界(关键)尺寸。在工艺上通常指条宽,例如POLY CD 为多晶条宽。 32. Character window:特征窗口。用文字或数字描述的包含工艺所有特性的一个方形区域。 33. Chemical-mechanical polish(CMP):化学机械抛光法。一种去掉圆片表面某种物质的方法。 34. Chemical vapor deposition(CVD):化学汽相淀积。一种通过化学反应生成一层薄膜的工艺。 35. Chip:碎片或芯片。 36. CIM:computer-integrated manufacturing的缩写。用计算机控制和监控制造工艺的一种综合方式。 37. Circuit design :电路设计。一种将各种元器件连接起来实现一定功能的技术。

半导体行业专业术语

半导体行业专业术语.txt都是一个山的狐狸,你跟我讲什么聊斋,站在离你最近的地方,眺望你对别人的微笑,即使心是百般的疼痛只为把你的一举一动尽收眼底.刺眼的白色,让我明白什么是纯粹的伤害。悬赏太少了吧~嘎嘎不过尽管如此还是分享下俺的资料(有19800个字,这里发不下,如果还需要就给我小消息~~~):) 移动通讯词汇(中英) A 安全地线 safe ground wire 安全特性 security feature 安装线 hook-up wire 按半周进行的多周期控制 multicycle controlled by half-cycle 按键电话机 push-button telephone set 按需分配多地址 demand assignment multiple access(DAMA) 按要求的电信业务 demand telecommunication service 按组编码 encode by group B 八木天线 Yagi antenna 白噪声 white Gaussian noise 白噪声发生器 white noise generator 半波偶极子 halfwave dipole 半导体存储器 semiconductor memory 半导体集成电路 semiconductor integrated circuit 半双工操作 semi-duplex operation 半字节 Nib 包络负反馈 peak envelop negative feed-back 包络延时失真 envelop delay distortion 薄膜 thin film 薄膜混合集成电路 thin film hybrid integrated circuit 保护比(射频) protection ratio (RF) 保护时段 guard period 保密通信 secure communication 报头 header 报文分组 packet 报文优先等级 message priority 报讯 alarm 备用工作方式 spare mode 背景躁声 background noise 倍频 frequency multiplication 倍频程 actave 倍频程滤波器 octave filter 被呼地址修改通知 called address modified notification

半导体名词解释

1)Acetone 丙酮 丙酮是有机溶剂的一种,分子式为CH3COCH3 性质:无色,具剌激性薄荷臭味的液体 用途:在FAB内的用途,主要在于黄光室内正光阻的清洗、擦拭 毒性:对神经中枢具中度麻醉性,对皮肤粘膜具轻微毒性,长期接触会引起皮肤炎,吸入过量的丙酮蒸气会刺激鼻、眼结膜、咽喉粘膜、甚至引起头痛、恶心、呕吐、目眩、意 识不明等。 允许浓度:1000ppm 2)Active Area 主动区域 MOS核心区域,即源,汲,闸极区域 3)AEI蚀刻后检查 (1)AEI 即After Etching Inspection,在蚀刻制程光阻去除前和光阻去除后,分别对产品实施主检或抽样检查。 (2)AEI的目的有四: 提高产品良率,避免不良品外流。 达到品质的一致性和制程的重复性。 显示制程能力的指标。 防止异常扩大,节省成本 (3)通常AEI检查出来的不良品,非必要时很少做修改。因为除去氧化层或重长氧化层可能造成组件特性改变可靠性变差、缺点密度增加。生产成本增高,以及良率降低的缺点。4)Al-Cu-Si 铝硅铜 金属溅镀时所使用的原料名称,通常是称为T arget,其成份为0.5%铜,1%硅及98.5%铝,一般制程通常是使用99%铝1%硅.后来为了金属电荷迁移现象(Electromigration) 故渗加 0.5%铜降低金属电荷迁移 5)Alkaline Ions 碱金属雕子 如Na+,K+,破坏氧化层完整性,增加漏电密度,减小少子寿命,引起移动电荷,影响器件稳定性。其主要来源是:炉管的石英材料,制程气体及光阻等不纯物。

6)Alloy 合金 半导体制程在蚀刻出金属连线后,必须加强Al与SiO2间interface的紧密度,故进行Alloy步骤,以450℃作用30min,增加Al与Si的紧密程度,防止Al层的剥落及减少欧姆接触的电阻值,使R C的值尽量减少。 7)Aluminum 铝 一种金属元素,质地坚韧而轻,有延展性,容易导电。普遍用于半导体器件间的金属连线,但因其易引起spike及Electromigration,故实际中会在其中加入适量的Cu或Si 8)Anneal 回火 又称退火:也叫热处理,集成电路工艺中所有的在氮气等不活泼气氛中进行的热处理过程都可以称为退火。 a)激活杂质:使不在晶格位置上的离子运动到晶格位置,以便具有电活性,产生自由载 流子,起到杂质的作用。 b)消除损伤:离子植入后回火是为了修复因高能加速的离子直接打入芯片而产生的损毁 区(进入底材中的离子行进中将硅原子撞离原来的晶格位置,致使晶体的特性改变)。 而这种损毁区,经过回火的热处理后即可复原。这种热处理的回火功能可利用其温度、 时间差异来控制全部或局部的活化植入离子的功能 c)氧化制程中的回火主要是为了降低界面态电荷,降低SiO2的晶格结构 退火方式: ?炉退火 ?快速退火:脉冲激光法、扫描电子束、连续波激光、非相干宽带频光源(如卤光灯、电弧灯、石墨加热器、红外设备等) 9)Angstrom 埃(?) 是一个长度单位,1?=10-10米,其大小为1公尺的佰亿分之一,约人的头发宽度的伍拾万分之

半导体物理--专业术语英汉对照-复习版

__________________________________________________ 1 acceptor 受主 2 allowed energy band允带 3 binary semiconductor 二元半导体 4 charge neutrality condition 电中性条件 5 compensated semiconductor 补偿半导体 6 conduction band and valence band 导带和价带 7 effective mass 有效质量 8 density of states function状态密度函数 9 diamond structure金刚石结构 10 diffusion coefficient扩散系数 11 donor施主 12 drift velocity 漂移速度 13 electron and hole电子和空穴 14 elemental semiconductor 元素半导体 15 equilibrium carrier concentration热平衡载流子浓度 16 expitaxy外延 17 extrinsic semiconductor非本征半导体 18 Fermi energy (or level)费米能级 19 Forbidden energy band禁带 20 indirect bandbap semiconductor非直接带隙半导体 21 intrinsic semiconductor本征半导体 22 majority carrier多数载流子 23 MBE分子束外延 24 Miller indices密勒指数 25 minority carrier少数载流子 26 mobility迁移率 27 MOCVD金属有机气相沉积 28 nondegenerate semiconductor非简并半导体 29 n-type material n型材料 30 Pauli exclusion principle 泡利不相容原理 31 phonon声子 32 photon光子 33 primitive cell原胞 34 quantum state量子态 35 quaternary semiconductor四元半导体 36 scattering散射 37 substrate衬底 38 thermal motion热运动 39 unit cell单胞 40 wave-particle duality波粒二相性 41 continuity equations连续性方程 42 diffusion length扩散长度 43 diffusion coefficient扩散系数 44 Einstein relationship爱因斯坦关系 45 p-n junction p-n结 46 built-in voltage 内建电势差 47 carrier lifetime 载流子寿命

半导体术语

Abrupt junction 突变结Accelerated testing 加速实验Acceptor 受主 Acceptor atom 受主原子Accumulation 积累、堆积Accumulating contact 积累接触Accumulation region 积累区Accumulation layer 积累层 Active region 有源区 Active component 有源元 Active device 有源器件 Activation 激活 Activation energy 激活能 Active region 有源(放大)区Admittance 导纳 Allowed band 允带 Alloy-junction device 合金结器件Aluminum(Aluminium) 铝Aluminum –oxide 铝氧化物Aluminum passivation 铝钝化Ambipolar 双极的 Ambient temperature 环境温度

Amorphous 无定形的,非晶体的 Amplifier 功放扩音器放大器 Analogue(Analog) comparator 模拟比较器Angstrom 埃Anneal 退火 Anisotropic 各向异性的 Anode 阳极 Arsenic (AS) 砷 Auger 俄歇 Auger process 俄歇过程 Avalanche 雪崩 Avalanche breakdown 雪崩击穿 Avalanche excitation雪崩激发 B Background carrier 本底载流子 Background doping 本底掺杂 Backward 反向 Backward bias 反向偏置 Ballasting resistor 整流电阻 Ball bond 球形键合 Band 能带 Band gap 能带间隙 Barrier 势垒

半导体专业术语.doc

1. acceptor: 受主,如 B,掺入 Si 中需要接受电子20. Asher :去胶机 2. Acid :酸21. Aspect ration :形貌比( ETCH中的深度、宽度比) 3. Active device :有源器件,如MOS FET(非线性,可以对信号放大)22. Autodoping :自搀杂(外延时 SUB的浓度高,导致有杂质蒸发到环境中后,又回掺到外延层) 4. Align mark(key) :对位标记23. Back end :后段( CONTACT以后、 PCM测试前) 5. Alloy :合金24. Baseline :标准流程 6. Aluminum:铝25. Benchmark:基准 7. Ammonia:氨水26. Bipolar :双极 8. Ammonium fluoride : NH4F 27. Boat :扩散用(石英)舟 9. Ammonium hydroxide : NH4OH 28. CD:(Critical Dimension )临界(关键)尺寸。在工艺上通常指条宽,例如POLY CD 为 10. Amorphous silicon :α -Si ,非晶硅(不是多晶硅)多晶条宽。 11. Analog :模拟的29. Character window :特征窗口。用文字或数字描述的包含工艺所有特性的一个方形区域。 12. Angstrom :A(1E-10m)埃30. Chemical-mechanical polish ( CMP):化学机械抛光法。一种去掉圆片表面某种物质的方法。 13. Anisotropic :各向异性(如POLY ETCH)31. Chemical vapor deposition(CVD):化学汽相淀积。一种通过化学反应生成一层薄膜的工 14. AQL(Acceptance Quality Level) :接受质量标准,在一定采样下,可以95%置信度通过质艺。 量标准(不同于可靠性,可靠性要求一定时间后的失效率)32. Chip :碎片或芯片。 15. ARC(Antireflective coating) :抗反射层(用于 METAL等层的光刻)33. CIM: computer-integrated manufacturing 的缩写。用计算机控制和监控制造工艺的一种 16. Argon(Ar) 氩综合方式。 17. Arsenic(As) 砷34. Circuit design :电路设计。一种将各种元器件连接起来实现一定功能的技术。 18. Arsenic trioxide(As2O3)三氧化二砷35. Cleanroom :一种在温度,湿度和洁净度方面都需要满足某些特殊要求的特定区域。 19. Arsine(AsH3)36. Compensation doping:补偿掺杂。向P 型半导体掺入施主杂质或向N 型掺入受主杂质。

半导体名词解释

1.何谓PIE PIE的主要工作是什幺? 答:Process Integration Engineer(工艺整合工程师), 主要工作是整合各部门的资源, 对工艺持续进行改善, 确保产品的良率(yield)稳定良好。 2.200mm,300mm Wafer 代表何意义? 答:8寸硅片(wafer)直径为 200mm , 直径为 300mm硅片即12寸. 3.目前中芯国际现有的三个工厂采用多少mm的硅片(wafer)工艺未来北京的Fab4(四厂)采用多少mm的wafer工艺? 答:当前1~3厂为200mm(8英寸)的wafer, 工艺水平已达工艺。未来北京厂工艺wafer将使用300mm(12英寸)。 4.我们为何需要300mm? 答:wafer size 变大,单一wafer 上的芯片数(chip)变多,单位成本降低 200→300 面积增加倍,芯片数目约增加倍 5. 所谓的 um 的工艺能力(technology)代表的是什幺意义? 答:是指工厂的工艺能力可以达到 um的栅极线宽。当栅极的线宽做的越小时,整个器件就可以变的越小,工作速度也越快。 6.从>>>> 的technology改变又代表的是什幺意义? 答:栅极线的宽(该尺寸的大小代表半导体工艺水平的高低)做的越小时,工艺的难度便相对提高。从 -> -> -> -> 代表着每一个阶段工艺能力的提升。 7.一般的硅片(wafer)基材(substrate)可区分为N,P两种类型(type),何谓 N, P-type wafer? 答:N-type wafer 是指掺杂 negative元素(5价电荷元素,例如:P、As)的硅片, P-type 的wafer 是指掺杂 positive 元素(3价电荷元素, 例如:B、In)的硅片。 8. 工厂中硅片(wafer)的制造过程可分哪几个工艺过程(module)? 答:主要有四个部分:DIFF(扩散)、TF(薄膜)、PHOTO(光刻)、ETCH(刻蚀)。其中DIFF又包括FURNACE(炉管)、WET(湿刻)、IMP(离子注入)、RTP(快速热处理)。TF包括PVD(物理气相淀积)、CVD(化学气相淀积) 、CMP(化学机械研磨)。硅片的制造就是依据客户的要求,不断的在不同工艺过程(module)间重复进行的生产过程,最后再利用电性的测试,确保产品良好。 9.一般硅片的制造常以几P几M 及光罩层数(mask layer)来代表硅片工艺的时间长短,请问几P几M及光罩层数(mask layer)代表什幺意义? 答:几P几M代表硅片的制造有几层的Poly(多晶硅)和几层的metal(金属导线).一般的逻辑产品为1P6M( 1层的Poly和6层的metal)。而

半导体词汇汇总

半导体词汇 半导体词汇 1. acceptance testing (WAT: wafer acceptance testing) 2. acceptor: 受主,如B,掺入Si中需要接受电子 3. ACCESS:一个EDA(Engineering Data Analysis)系统 4. Acid:酸 5. Active device:有源器件,如MOS FET(非线性,可以对信号放大) 6. Align mark(key):对位标记 7. Alloy:合金 8. Aluminum:铝 9. Ammonia:氨水 10. Ammonium fluoride:NH4F 11. Ammonium hydroxide:NH4OH 12. Amorphous silicon:α-Si,非晶硅(不是多晶硅) 13. Analog:模拟的 14. Angstrom:A(1E-10m)埃 15. Anisotropic:各向异性(如POLY ETCH) 16. AQL(Acceptance Quality Level):接受质量标准,在一定采样下,可以95%置信度通过质量标准(不同于可靠性,可靠性要求一定时间后的失效率) 17. ARC(Antireflective coating):抗反射层(用于METAL等层的光刻) 18. Antimony(Sb)锑 19. Argon(Ar)氩 20. Arsenic(As)砷 21. Arsenic trioxide(As2O3)三氧化二砷 22. Arsine(AsH3) 23. Asher:去胶机 24. Aspect ration:形貌比(ETCH中的深度、宽度比) 25. Autodoping:自搀杂(外延时SUB的浓度高,导致有杂质蒸发到环境中后,又回掺到外延层) 26. Back end:后段(CONTACT以后、PCM测试前) 27. Baseline:标准流程 28. Benchmark:基准 29. Bipolar:双极 30. Boat:扩散用(石英)舟 31. CD:(Critical Dimension)临界(关键)尺寸。在工艺上通常指条宽,例如POLY CD 为多晶条宽。 32. Character window:特征窗口。用文字或数字描述的包含工艺所有特性的一个方形区域。 33. Chemical-mechanical polish(CMP):化学机械抛光法。一种去掉圆片表面某种物质的方法。 34. Chemical vapor deposition(CVD):化学汽相淀积。一种通过化学反应生成一层薄膜的工艺。 35. Chip:碎片或芯片。 36. CIM:computer-integrated manufacturing的缩写。用计算机控制和监控制造工艺的一种综合方式。 37. Circuit design :电路设计。一种将各种元器件连接起来实现一定功能的技术。 38. Cleanroom:一种在温度,湿度和洁净度方面都需要满足某些特殊要求的特定区域。 39. Compensation doping:补偿掺杂。向P型半导体掺入施主杂质或向N型掺入受主杂质。 40. CMOS:complementary metal oxide semiconductor的缩写。一种将PMOS和NMOS在同一个硅衬

半导体常用英语词汇-

MFG 常用英文单字 Semiconductor半导体 导体、绝缘体和半导体主要依据导电系数的大小,决定了电子的移动速度。 导体:金、银、铜、铁、人、水……导电系数大,传导容易 绝缘体:塑料、木头、皮革、纸……导电系数小、传导不容易 半导体:硅中加锗、砷、镓、磷……平时不导电加特定电压后导电Wafer 芯片或晶圆:原意为法国的松饼,饼干上有格子状的饰纹,与FAB内生产的芯片图形类似。 Lot 批;一批芯片中最多可以有25片,最少可以只有一片。 ID Identification的缩写。用以辨识各个独立的个体,就像公司内每一个人有自己的识别证。 Wafer ID 每一片芯片有自己的芯片刻号,叫Wafer ID。 Lot ID 每一批芯片有自己的批号,叫Lot ID。 Part ID 各个独立的批号可以共享一个型号,叫Part ID。 WIP Work In Process,在制品。从芯片投入到芯片产品,FAB内各站积存了相当数量的芯片,统称为FAB内的WIP 。 一整个制程又可细分为数百个Stage和Step,每一个Stage所堆积的芯片, 称为Stage WIP。 Lot Priority 每一批产品在加工的过程中在WIP中被选择进机台的优先级。 Super Hot Run的优先级为1,视为等级最高,必要时,当Lot在 上一站加工时,本站便要空着机台等待Super Hot Run。 Hot Run的优先级为2,紧急程度比Super Hot Run次一级。 Normal的优先级为3,视为正常的等级,按正常的派货原则,或 视常班向生产指令而定。 Cycle time 生产周期,FAB Cycle Time 定义为:从芯片投入到芯片产生的这一段时间。 Stage Cycle Time:Lot从进站等候开始到当站加工后出货时间点截止。Spec. 规格Specification的缩写。产品在机台加工过程中,每一站均设定规格。 机台加工后,产品或控片经由量测机台量测,该产品加工后,是否在规格 内。若超出规格﹝Out of SPEC﹞,必须通知组长将产品Hold,并同时通知 制程工程师前来处理,必要时机台要停工,重新monitor,确定量测规格, 藉以提升制程能力。 SPC Statistics Process Control统计制程管制;透过统计的手法,搜集分析资料,然后调整机台参数设备改善机台状况或请让机台再处理每一批产品时,都

半导体物理--专业术语英汉对照50词

1 acceptor 受主 2 allowed energy band允带 3 binary semiconductor 二元半导体 4 charge neutrality condition 电中性条件 5 compensated semiconductor 补偿半导体 6 conduction band and valence band 导带和价带 7 effective mass 有效质量 8 density of states function状态密度函数 9 diamond structure金刚石结构 10 diffusion coefficient扩散系数 11 donor施主 12 drift velocity 漂移速度 13 electron and hole电子和空穴 14 elemental semiconductor 元素半导体 15 equilibrium carrier concentration热平衡载流子浓度 16 expitaxy外延 17 extrinsic semiconductor非本征半导体 18 Fermi energy (or level)费米能级 19 Forbidden energy band禁带 20 indirect bandbap semiconductor非直接带隙半导体 21 intrinsic semiconductor本征半导体 22 majority carrier多数载流子 23 MBE分子束外延 24 Miller indices密勒指数 25 minority carrier少数载流子 26 mobility迁移率 27 MOCVD金属有机气相沉积 28 nondegenerate semiconductor非简并半导体 29 n-type material n型材料 30 Pauli exclusion principle 泡利不相容原理 31 phonon声子 32 photon光子 33 primitive cell 34 quantum state量子态 35 quaternary semiconductor四元半导体 36 scattering散射 37 substrate衬底 38 thermal motion热运动 39 unit cell单胞 40 wave-particle duality波粒二相性 41 continuity equations连续性方程 42 diffusion length扩散长度 43 diffusion coefficient扩散系数 44 Einstein relationship爱因斯坦关系 45 p-n junction p-n结 46 built-in voltage 内建电势差 47 carrier lifetime 载流子寿命 48 space charge region 空间电荷区 49 depletion width 耗尽宽度 50 saturation drift velocity 饱和迁移速度

#半导体术语

半导体术语 离?子注?入机 ion implanter LSS理理论 Lindhand Scharff and Schiott theory,?又称“林林汉德-斯卡夫-斯?高特理理论”。沟道效应 channeling effect 射程分布 range distribution 深度分布 depth distribution 投影射程 projected range 阻?止距离 stopping distance 阻?止本领 stopping power 标准阻?止截?面 standard stopping cross section 退?火 annealing 激活能 activation energy 等温退?火 isothermal annealing 激光退?火 laser annealing 应?力力感?生缺陷 stress-induced defect 择优取向 preferred orientation 制版?工艺 mask-making technology 图形畸变 pattern distortion 初缩 ?rst mini?cation 精缩 ?nal mini?cation ?母版 master mask 铬版 chromium plate ?干版 dry plate 乳胶版 emulsion plate 透明版 see-through plate ?高分辨率版 high resolution plate, HRP

超微粒?干版 plate for ultra-microminiaturization 掩模 mask 掩模对准 mask alignment 对准精度 alignment precision 光刻胶 photoresist,?又称“光致抗蚀剂”。 负性光刻胶 negative photoresist 正性光刻胶 positive photoresist ?无机光刻胶 inorganic resist 多层光刻胶 multilevel resist 电?子束光刻胶 electron beam resist X射线光刻胶 X-ray resist 刷洗 scrubbing 甩胶 spinning 涂胶 photoresist coating 后烘 postbaking 光刻 photolithography X射线光刻 X-ray lithography 电?子束光刻 electron beam lithography 离?子束光刻 ion beam lithography 深紫外光刻 deep-UV lithography 光刻机 mask aligner 投影光刻机 projection mask aligner 曝光 exposure 接触式曝光法 contact exposure method 接近式曝光法 proximity exposure method 光学投影曝光法 optical projection exposure method 电?子束曝光系统 electron beam exposure system

相关文档