文档库 最新最全的文档下载
当前位置:文档库 › 第章组合逻辑电路习题解答

第章组合逻辑电路习题解答

第章组合逻辑电路习题解答
第章组合逻辑电路习题解答

第章组合逻辑电路习题

解答

公司内部档案编码:[OPPTR-OPPT28-OPPTL98-OPPNN08]

复习思考题

3-1 组合逻辑电路的特点

从电路结构上看,组合电路只由逻辑门组成,不包含记忆元件,输出和输入之间无反馈。任意时刻的输出仅仅取决于该时刻的输入,而与电路原来的状态无关,即无记忆功能。

3-2 什么是半加什么是全加区别是什么

若不考虑有来自低位的进位将两个1位二进制数相加,称为半加。两个同位的加数和来自低位的进位三者相加,称为全加。半加是两个1位二进制数相加,全加是三个1位二进制数相加。

3-3 编码器与译码器的工作特点

编码器的工作特点:将输入的信号编成一个对应的二进制代码,某一时刻只能给一个信号编码。译码器的工作特点:是编码器的逆操作,将每个输入的二进制代码译成对应的输出电平。

3-4 用中规模组合电路实现组合逻辑函数是应注意什么问题

中规模组合电路的输入与输出信号之间的关系已经被固化在芯片中,不能更改,因此用中规模组合电路实现组合逻辑函数时要对所用的中规模组合电路的产品功能十分熟悉,才能合理地使用。

3-5 什么是竞争-冒险产生竞争-冒险的原因是什么如何消除竞争-冒险

在组合逻辑电路中,当输入信号改变状态时,输出端可能出现虚假信号----过渡干扰脉冲的现象,叫做竞争冒险。门电路的输入只要有两

个信号同时向相反方向变化,这两个信号经过的路径不同,到达输入端的时间有差异,其输出端就可能出现干扰脉冲。消除竞争-冒险的方法有:接入滤波电容、引入选通脉冲、修改逻辑设计。

习 题

3-1试分析图所示各组合逻辑电路的逻辑功能。

解: (a)图 (1) 由逻辑图逐级写出表达式:)()(D C B A Y ⊕⊕⊕=

(2) 化简与变换:

D

C Y B A Y ⊕=⊕=21

则 21Y Y Y ⊕=

(3)由表达式列出真值表,见表。

输入

中间变量

中间变

量 输出 A B C D

Y 1 Y 2 Y 0 0 0 0 0 0 0 1 0 0 1 0 0 0 0 1

0 1 1 0 0

0 1 1 0 1

(4)分析逻辑功能:由真值表可知,该电路所能完成的逻辑功能是:判断四个输入端输入1的情况,当输入奇数个1时,输出为1,否则输出为0。

(b)图 (1) 由逻辑图逐级写出表达式:

=(2) 化简与变换:Y=1

A

B

Y⊕

A

B

由此可见,无论输入是什么状态,输出均为1

3-2 试分析图所示各组合逻辑电路的逻辑功能,写出函数表达式。

解: (a)图 CD C B AB Y ⊕⊕⊕=

(b)图

C

B A Y B

C C A B A Y ⊕⊕=++=21 全加器

3-3 采用与非门设计下列逻辑电路: (1) 三变量非一致电路;

(2) 三变量判奇电路(含1的个数); (3) 三变量多数表决电路。 解:

输入

输出

输出 输出 A B C Y 1 Y 2 Y 3 0 0 0 0 0 1 0 1 0 0 1 1 1 0 0 1 0 1 1 1 0 1 1 1 0 1 1 1 1 1 1 0

0 1 1 0 1 0 0 1

0 0 0 1 0 1 1 1

AC

BC AB Y ABC C B A C B A C B A Y C

A B A C B Y ++=++++=++=321

3-4 有一个车间, 有红、黄两个故障指示灯,用来表示三台设备的工作情况。当有一台设备出现故障时,黄灯亮;若有两台设备出现故障时,红灯亮;若三台设备都出现故障时, 红灯、黄灯都亮。试用与非门设计一个控制灯亮的逻辑电路。

解:有故障用1表示,无故障用0表示,灯亮用1表示,灭用0表示

C

B A Y A

C BC AB Y ⊕⊕=++=21

3-5 A 、B 、C 和D 四人在同一实验室工作,他们之间的工作关系是: (1) A 到实验室,就可以工作;

(2) B 必须C 到实验室后才有工作可做; (3) D 只有A 在实验室才可以工作。

请将实验室中没人工作这一事件用逻辑表达式表达出来。 解:1表示在实验室,0表示不在实验室,1表示有人工作,0表示没人工作

=

Y+

A

BC

3-6 设计用单刀双掷开关来控制楼梯照明灯的电路。要求在楼下开灯后,可在楼上关灯;同样也可在楼上开灯,而在楼下关灯。用与非门实现上述逻辑功能。

解;A表示楼上开关、B表示楼下开关。1表示开关闭合、0表示开关断开

Y+

=

A

A

B

B

旅客列车分特快、直快、慢车等三种。它们的优先顺序由高到低依次是特快、直快、慢车。试设计一个列车从车站开出的逻辑电路。

解: A表示特快、B表示直快、C表示慢车。1表示开、0表示停

C

B A Y B A Y A Y

C B A === 3-8 用译码器实现下列逻辑函数,画出电路图。 (1) Y 1=∑m (3,4,5,6) (2) Y 2=∑m (1,3,5,9,11) (3) Y 3=∑m (2,6,9,12,13,14)

解:65431m m m m Y +++=

6

5436

5431Y Y Y Y m m m m Y ==

1195312m m m m m Y ++++=

5

432111

95312Y Y Y Y Y m m m m m Y ==

1413129623m m m m m m Y +++++=

14

131296214

13129623Y Y Y Y Y Y m m m m m m Y ==

3-9 用与非门设计一个七段显示译码器,要求能显示H 、F 、E 、L 四个符号。

解:输入A 、B ,00表示H 、01表示F 、10表示E 、11表示L

输出Ya,Yb,Yc,Yd,Ye,Yf,Yg 。灯亮用1表示、灯灭用0表示

B A B A Y a += B A Y b = B A Y c = A Y d = 1==f e Y Y

B A B A Y g +=

3-10 试用74LS151数据选择器实现逻辑函数: (1) Y (A ,B ,C ) =∑m (1

,3,5,7) (2) ABC C AB BC A C B A Y +++=2 解: 75311m m m m Y +++=

1

76312m m m m Y +++=

G A B C

1

用译码器和门电路设计一个数据选择器。 解:四选一数据选择器

3-12 用集成二进制译码器和与非门实现下列逻辑函数,画出电路图。

(1) ∑=m Y )6,5,4,3(1 (2) ∑=m Y )10,8,6,2,0(2 解: 65431m m m m Y +++=

6

5436

5431Y Y Y Y m m m m Y ==

1086201m m m m m Y ++++=

10

862010

86202Y Y Y Y Y m m m m m Y ==

3-13 画出用2片4位数值比较器组成8位数值比较器的电路图。

3

32211003

01201101001D Y D Y D Y D Y D A A D A A D A A D A A Y +++=+++=33221100D Y D Y D Y D Y Y ???=3

3221100D Y D Y D Y D Y Y ???=

3-14 用四选一数据选择器和译码器, 组成二十选一数据选择器。 3-15 仿照全加器的设计方法,试设计一个一个全减器。

解:全减器有三个输入变量:被减数An 、减数Bn 、低位向本位的借位Cn ;有两个输出变量:本位差Dn 、本位向高位的借位C n +1

全减器真值表

n n n n n n n n n n n n n n n n n n n n

B A

C A C B C C B A C B A C B A C B A

D ++=+++=+1

习题3-15图

3-16 判断下列逻辑函数是否存在冒险现象: (1) C B A C B C A AB Y +++=1 (2) ))()((2C A C B B A Y +++= 解: C B A C B C A AB Y +++=1

当B=C=1时 A A Y +=1 则存在0冒险

))()((2C A C B B A Y +++=

当A=0 C=1时 B B Y ?=1 则存在1冒险

第六章 组合逻辑电路要点

第六章组合逻辑电路 一、概述 1、组合逻辑电路的概念 数字电路根据逻辑功能特点的不同分为: 组合逻辑电路:指任何时刻的输出仅取决于该时刻输入信号的组合,而与电路原有的状态无关的电路。 时序逻辑电路:指任何时刻的输出不仅取决于该时刻输入信号的组合,而且与电路原有的状态有关的电路。 2、组合逻辑电路的特点 逻辑功能特点:没有存储和记忆作用。 组成特点:由门电路构成,不含记忆单元,只存在从输入到输出的通路,没有反馈回路。 3、组合逻辑电路的描述 4、组合逻辑电路的分类 按逻辑功能分为:编码器、译码器、加法器、数据选择器等; 按照电路中不同基本元器件分为:COMS、TTL等类型; 按照集成度不同分为:SSI、MSI、LSI、VLSI等。 二、组合逻辑电路的分析与设计方法 1、分析方法 根据给定逻辑电路,找出输出输入间的逻辑关系,从而确定电路的逻辑功能,其基本步骤为: a、根据给定逻辑图写出输出逻辑式,并进行必要的化简; b、列出函数的真值表; c、分析逻辑功能。 2、设计方法 设计思路:分析给定逻辑要求,设计出能实现该功能的组合逻辑电路。 基本步骤:分析设计要求并列出真值表→求最简输出逻辑式→画逻辑图。 首先分析给定问题,弄清楚输入变量和输出变量是哪些,并规定它们的符号与逻辑取值(即规定它们何时取值0 ,何时取值1) 。然后分析输出变量和输入变量间的逻辑关系,列出真值表。根据真值表用代数法或卡诺图法求最简与或式,然后根据题中对门电路类型的要求,将最简与或式变换为与门类型对应的最简式。

三、若干常用的组合逻辑电路 (一)、编码器 把二进制码按一定规律编排,使每组代码具有特定的含义,称为编码。具有编码功能的逻辑电路称为编码器。 n 位二进制代码有n 2种组合,可以表示n 2个信息;要表示N 个信息所需的二进制代码应满足n 2≥ N 。 1、普通编码器 (1)、二进制编码器 将输入信号编成二进制代码的电路。下面以3位二进制编码器为例分析普通编码器的工作原理。 3位二进制编码器的输入为70~I I 共8个输入信号,输出是3位二进制代码012Y Y Y ,因此该电路又称8线-3线编码器。它有以下几个特征: a 、将70~I I 8个输入信号编成二进制代码。 b 、编码器每次只能对一个信号进行编码,不允许两个或两个以上的信号同时有效。 c 、设输入信号高电平有效。 由此可得3位二进制编码器的真值表如右图所示,那么由真值表可知: 765476542I I I I I I I I Y =+++= 763276321I I I I I I I I Y =+++= 753175310I I I I I I I I Y =+++= 进而得到其逻辑电路图如下:

第三章 组合逻辑电路的分析与设计

第三章组合逻辑电路的分析与设计 [教学要求] 1.掌握逻辑代数的三种基本运算、三项基本定理、基本公式和常用公式; 2.掌握逻辑函数的公式化简法和卡诺图化简法; 3.了解最小项、最大项、约束项的概念及其在逻辑函数化简中的应用。 4.掌握组合逻辑电路的分析与设计方法; 5.了解组合电路中的竞争与冒险现象、产生原因及消除方法。 [教学内容] 1.逻辑代数的三种基本运算、三项基本定理、基本公式和常用公式 2.逻辑函数的公式化简法和卡诺图化简法 3.最小项、最大项、约束项的概念及其在逻辑函数化简中的应用 4.组合逻辑电路的分析方法 5.组合逻辑电路的设计方法 6.组合电路中的竞争与冒险现象、产生原因及消除方法 组合逻辑电路――在任何时刻,输出状态只决定于同一时刻各输入状态的组合,而与先前状态无关的逻辑电路。 (1)输出、输入之间没有反馈延迟通路; (2)电路中不含记忆单元。

3.1 逻辑代数 一、逻辑代数的基本定律和恒等式 (摩根定律) 对于表中所列的定律的证明,最有效的方法就是检验等式左边的函数与右边函数的真值 证明: 证明如下:

二、逻辑代数的基本规则 1.代入规则:在任何一个逻辑等式中,如果将等式两边出现的某变量A ,都用一个函数代替,则等式依然成立,这个规则称为。 例如,在B(A+C)=BA+BC 2.反演规则:根据摩根定律,求一个逻辑函数L的非函数时,可以将L中的与(·)换成或(+),或(+)换成与(·);再将原变量换为非变量(如A换成),非变量换为原变量;并将1换成0,0换成1;那么所得逻辑函数式就是。这个规则称为反演规 则。 例如,求的非函数时,按照上述法则,可得 ,不能写成。 (1)保持原来的运算优先顺序,即如果在原函数表达式中,AB之间先运算,再和其他变量进行运算,那么非函数的表达式中,仍然是AB之间先运算。 (2)对于反变量以外的非号应保留不变。 3.对偶规则:L是一个逻辑表达式,如把L中的与(·)换成或(+),或(+)换成与(·);1换成0,0换成1,那么就得到一个新的逻辑函数式,这就是L的对偶式,记作L。例如,,则。变换时仍需注意保持原式中先与后或的顺序。 利用对偶规则,可从已知公式中得到更多的运算公式。 例如,吸收律成立,则它的对偶式也是成立的。 1.逻辑函数的变换 :函数

第三章组合逻辑电路

第三章 组合逻辑电路 一、选择题 1.下列表达式中不存在竞争冒险的有 。 A.Y =B +A B B.Y =A B +B C C.Y =A B C +A B D.Y =(A +B )A D 2.若在编码器中有50个编码对象,则要求输出二进制代码位数为 位。 A.5 B.6 C.10 D.50 3.一个16选一的数据选择器,其地址输入(选择控制输入)端有 个。 A.1 B.2 C.4 D.16 4.下列各函数等式中无冒险现象的函数式有 。 A.B A AC C B F ++= B.B A BC C A F ++= C.B A B A BC C A F +++= D.C A B A BC B A AC C B F +++++= E.B A B A AC C B F +++= 5.函数C B AB C A F ++=,当变量的取值为 时,将出现冒险现象。 A.B =C =1 B.B =C =0 C.A =1,C =0 D.A =0,B =0 6.四选一数据选择器的数据输出Y 与数据输入X i 和地址码A i 之间的 逻辑表达式为Y = 。 A.3X A A X A A X A A X A A 01201101001+++ B.001X A A C.101X A A D.3X A A 01 7.一个8选一数据选择器的数据输入端有 个。 A.1 B.2 C.3 D.4 E.8 8.在下列逻辑电路中,不是组合逻辑电路的有 。 A.译码器 B.编码器 C.全加器 D.寄存器 9.八路数据分配器,其地址输入端有 个。 A.1 B.2 C.3 D.4 E.8 10.组合逻辑电路消除竞争冒险的方法有 。 A. 修改逻辑设计 B.在输出端接入滤波电容 C.后级加缓冲电路 D.屏蔽输入信号的尖峰干扰 11.101键盘的编码器输出 位二进制代码。 A.2 B.6 C.7 D.8 12.用三线-八线译码器74L S 138实现原码输出的8路数据分配器,应 。 A.A ST =1,B ST =D ,C ST =0 B. A ST =1,B ST =D ,C ST =D

数字电子技术第三章(组合逻辑电路)作业及答案

数字电子技术第三章(组合逻辑电路)作业及答案 -标准化文件发布号:(9456-EUATWK-MWUB-WUNN-INNUL-DDQTY-KII

第三章(组合逻辑电路)作业及答案 1、写出图3-1所示组合逻辑电路中输入输出的逻辑关系式和真值表。 图3-1:组合逻辑电路逻辑图 解:(1)C A A AC B A Y +=++=1 (2)D B C B A CD B A CD B A D BD CD A B A Y ++=++=+=++=)( 2 2、试分析图3-2所示组合逻辑电路,写出其逻辑函数表达式。若设S 1﹑S 0为功能控制信号,A ﹑B 为输入信号,L 为输出,说明当S 1﹑S 0取不同信号值时,电路所实现的逻辑功能。 图3-2:组合逻辑电路逻辑图 3、试用与门、或门和非门,或者与门、或门和非门的组合来实现如下各逻辑函数关系,画出相应的逻辑电路图。 (1)1 Y AB BC =+ A B S 1 S 0 =1 =1 & =1

(2)2Y A C B =+() (3)3Y ABC B EF G =++() & & 1 ≥Y1. 1 A B C . & 1 ≥Y2 . 1 A B C & 1 ≥1 ≥& & 1 A B C . E F G .Y3 . . . 4、试用门电路设计4线-2线优先编码器,输入、输出信号都是高电平有效,要求任一按键按下时,G S 为1,否则G S =0;还要求没有按键按下时,E O 信号为1,否则为0。

5、试用逻辑门电路设计一个2选1数据选择器,输入信号为A、B,选择信号为S,输出信号为Y,要求写出真值表、逻辑函数表达式和画出逻辑电路图。 6、某公司3条装配线各需要100kW电力,采用两台发电动机供电,一台100kW,另外一台是200kW,3条装配线不同时开工,试设计一个发电动机控制电路,可以按照需求启动发电动机以达到节电的目的。

第4章 组合逻辑电路 课后答案

第4章 [题4.1].分析图P4.1电路的逻辑功能,写出输出的逻辑函数式,列出真值表,说明电路逻辑功能的特点。 图P4.1 B Y AP 56 P P = 图P4.2 解:(1)逻辑表达式 ()()() 5623442344 232323232323 Y P P P P P CP P P P CP P P C CP P P P C C P P P P C P PC ===+=+=++=+ 2311P P BP AP BABAAB AB AB ===+ ()()()2323Y P P C P P C AB AB C AB ABC AB AB C AB AB C ABC ABC ABC ABC =+=+++=+++=+++ (2)真值表 (3)功能 从真值表看出,这是一个三变量的奇偶检测电路,当输入变量中有偶数个1和全为0时,Y =1,否则Y=0。 [题4.3] 分析图P4.3电路的逻辑功能,写出Y 1、、Y 2的逻辑函数式,列出真值表,指出电路完成什么逻辑功能。

图P4.3 B 1 Y 2 [解] 解: 2Y AB BC AC =++ 12 Y ABC A B C Y ABC A B C AB BC AC ABC ABC ABC ABC =+++=+++++=+++()()) B 、 C 为加数、被加数和低位的进位,Y 1为“和”,Y 2为“进位”。 [题4.4] 图P4.4是对十进制数9求补的集成电路CC14561的逻辑图,写出当COMP=1、Z=0、和COMP=0、Z=0时,Y 1~Y 4的逻辑式,列出真值表。

图P4.4 [解] (1)COMP=1、Z=0时,TG 1、TG 3、TG 5导通,TG 2、TG 4、TG 6关断。 3232211 , ,A A Y A Y A Y ⊕===, 4324A A A Y ++= (2)COMP=0、Z=0时, Y 1=A 1, Y 2=A 2, Y 3=A 3, Y 4=A 4。 COMP =0、Z=0的真值表从略。 [题4.5] 用与非门设计四变量的多数表决电路。当输入变量A 、B 、C 、D 有3个或3个以上为1时输出为1,输入为其他状态时输出为0。 [解] 题4.5的真值表如表A4.5所示,逻辑图如图A4.5(b)所示。

第10章 组合逻辑电路

第10章组合逻辑电路 一、基本要求 1.掌握组合电路的特点及其分析方法和设计方法; 2.理解几种常用的组合逻辑电路及其中规模器件的功能并掌握使用方法; 3.了解组合逻辑电路中的竟争——冒险现象。 二、阅读指导 1、组合逻辑电路的特点 组合逻辑电路在逻辑功能上的特点是电路任意时刻的输出状态,只取决于该时刻的输入状态,而与该时刻之前的电路输入状态和输出状态无关。 组合逻辑电路在结构上的特点是不含有具有存储功能的电路。可以由逻辑门或者由集成组合逻辑单元电路组成,从输出到各级门的输入无任何反馈线。 组合逻辑电路的输出信号是输入信号的逻辑函数。这样,逻辑函数的四种表示方法,都可以用来表示组合逻辑电路的功能。 2、组合逻辑电路的分析 组合逻辑电路的分析就是根据给定的逻辑电路,通过分析找出电路的逻辑功能,或是检验所设计的电路是否能实现预定的逻辑功能,并对功能进行描述。其一般步骤为:(1)根据逻辑图写出输出逻辑函数表达式 由输入端逐级向后推(或从输出向前推到输入),写出每个门的输出逻辑函数表达式,最后写出组合电路的输出与输入之间的逻辑表达式。有时需要对函数式进行适当的变换,以使逻辑关系简单明了。 (2)列出真值表 列出输入逻辑变量全部取值组合,求出对应的输出取值,列出真值表。 (3)说明电路的逻辑功能 根据逻辑表达式或真值表确定电路的逻辑功能,并对功能进行描述。 3、组合逻辑电路的设计 根据给定的逻辑功能要求,设计出能实现这一功能要求的最简组合逻辑电路,就是设计组合逻辑电路的任务。 在设计组合逻辑电路时,电路的最简是我们追求的目标之一。电路的“最简”含意是指所用器件数最少、器件的品种最少、器件间的连线也最少。 组合逻辑电路设计的一般步骤如下: (1)进行逻辑规定 根据设计要求设计逻辑电路时,首先应分析事件的因果关系,确定输入与输出逻辑变量,并规定变量何时取1何时取0,即所谓逻辑状态赋值。 (2)列真值表并写出逻辑函数式 根据输入、输出之间的因果关系,列出真值表。至此,便将一个具有因果关系的事件表示为逻辑函数,并且是以真值表的形式给出。 真值表中输出为1时所对应的各最小项之和就是输出逻辑函数式。 (3) 对输出逻辑函数式化简

数字电路第二章答案

第二章 组合逻辑电路 习题参考答案 2-1 写出图2-29所示各逻辑电路输出的逻辑表达式,列出真值表。 解:(a) BC AB Z +=1 (b) D C B A D C B A Z =+?+=2 真值表: (3) E D C B A E D C B A Z +++++++=)(3 E D C B A E D C B A +++?+++= ))((E D C B A E D C B A ++++++++=

+ + B C D ? + ] = + + E A+ ] ) A ( ) ( [ [E B C D A+ B A + + C = + + A (E )( D D ) B E B C BE C A+ A + D = + + B E D E E B C A E 真值表: 2-2分析图2-30所示的各逻辑电路,写出输出的逻辑表达式,列出真值表。

解:(a) )()(AC C B A C B A Z ?+?⊕+⊕= C B A C A B A C B A ⊕++=)( C B A C A B A C B A C B A C A B A C B A +++++=)( C B A A C B A C B A C A B A C B A +=+=+++= 真值表: (b) C B A ABC C B A C B A C B C B A C B A X +++=+⊕=⊕⊕=)()( C A BC B A Y ++= 2-3分析图2-31所示的逻辑电路,画出电路输出的波形图。 解:由逻辑图可以得到其输出表达式 C A D D BC B AD C AD D BC B AD Z +++==)( C AD D C B B D A +++++=)()( C AD D C D B D B B A +++++= C AD D B D B B A ++++=

第4章 组合逻辑电路习题解答

习题 4.1写出图所示电路的逻辑表达式,并说明电路实现哪种逻辑门的功能。(基本题属于4.1节) 习题4.1图 解:B A B A B A B A B A F ⊕=+=+= 该电路实现异或门的功能 4.2分析图所示电路,写出输出函数F 。(基本题属于4.1节) 习题4.2图 解:[]B A B B B A F ⊕=⊕⊕⊕=)( 4.3已知图示电路及输入A 、B 的波形,试画出相应的输出波形F ,不计门的延迟.(基本 题属于4.1节) 图 解:B A B A B A AB B AB A AB B AB A F ⊕=?=???=???= 4.4由与非门构成的某表决电路如图所示。其中A 、B 、C 、D 表示4个人,L=1时表示决议通过。(基本题属于4.1节) (1) 试分析电路,说明决议通过的情况有几种。 (2) 分析A 、B 、C 、D 四个人中,谁的权利最大。 B A C & & & & D L B A =1 =1 =1 F F A B & & & & & F B A

习题4.4图 解:(1)ABD BC CD ABD BC CD L ++=??= (2) (3)4.5分析图所示逻辑电路,已知S 1﹑S 0为功能控制输入,A ﹑B 为输入信号,L 为输出,求电路所具有的功能。(基本题属于4.1节) 习题4.5图 解:(1)011011)(S S B S A S S B S A L ⊕⊕+⊕=⊕⊕?⊕= (2) (3)当S 1S 0=00和S 1S 0=11时,该电路实现两输入或门,当S 1S 0=01时,该电路实现两输入或非门,当S 1S 0=10时,该电路实现两输入与非门。 4.6试分析图所示电路的逻辑功能,并用最少的与非门实现。(综合题属于4.1、4.2节) 10

(整理)《数字逻辑电路》试题2.

一、选择题(每小题1.5分) 第一章: 1. 带符号位二进制数10011010的反码是( )。 A. 11100101 B. 10011010 C. 10011011 D. 11100110 2. 十进制数5对应的余3码是( )。 A. 0101 B. 1000 C. 1010 D. 1100 3. 二进制代码1011对应的格雷码是( )。 A. 1011 B. 1010 C. 1110 D. 0001 第二章: 1. 下列公式中哪一个是错误的? ( ) A. A A 0=+ B. A A A =+ C. B A )B A ('+'='+ D. )C A )(B A (BC A ++=+ 2. 下列各式中哪个是三变量A 、B 、C 的最小项? ( ) A. B A '' B. C B A +'+' C.ABC D. C B '+' 3. 下列函数中不等于A 的是( )。 A. A +1 B. A +A C. A +AB D. A (A +B ) 4. 在逻辑代数的加法运算中,1+1=( )。 A. 2 B. 1 C. 10 D. 0 5. A ⊕1=( )。 A. A B. 1 C. A ' D. 0 6. 含有A 、B 、C 、D 四个逻辑变量的函数Y=A+B+D 中所含最小项的个数是( )。 A. 3 B. 8 C. 14 D. 16 7. 下列函数中等于AB 的是( )。 A. (A +1)B B. (A +B )B C. A +AB D. A (AB ) 8. 为了将600份文件顺序编码,如果采用二进制代码,最少需要用( )位。 A. 3 B. 10 C. 1024 D. 600 9. 为了将600个运动员顺序编码,如果采用八进制代码,最少需要用( )位。 A. 3 B. 4 C. 10 D. 75 第三章:

第三章 组合逻辑电路

1.74LS151为8选一数据选择器,分析下图,写出Y 的逻辑函数表达式,74LS151的功能表见表1。(A) 2. 试写出下图所示电路中的Y 的逻辑函数式。74HC153为四选一数据选择器, 其功能表见下表。(A) 74HC153的功能表 3. 试写出下图所示电路中的Y 的逻辑函数式。74HC151为八选一数据选择器, 其功能表见下表。(A) 74HC151的功能表

4.试写出下图所示电路中的Y的逻辑函数式。74HC138为3线-8线译码器,其功能表见下表。(A) 74HC138的功能表 5.试写74HC138为三线八线译码器(功能表如下),分析下图,写出F的逻辑函数表达式。(A) 74HC138的功能表 6.试写74HC138为三线八线译码器(功能表如下),分析下图,写出Y1Y2的逻辑函数表达式,分析该电路的功能。(B) 74HC138的功能表 7.试用4选1数据选择器(74HC153),实现逻辑函数

''''Y A C A B C A B C =++。74HC153功能表和逻辑符号如下。(B ) 74HC153的功能表 74HC153的逻辑符号 8. 试设计两位二进数平方电路,其功能是:输入一个两位二进制数,输出该数的平方。要 求:写出真值表,函数表达式。(A ) 9.设计三人表决电路,其功能是:三人中有两人或两人以上同意则输出‘1’,否则输出‘0’。要求:写出真值表,函数逻辑式,用74HC138实现(74HC138的功能表和逻辑符号如下)。 (B ) 74HC138的功能表 74HC138的逻辑符号 10.设计三变量一致电路,其功能是:三个变量输入一样时,则输出‘1’,否则输出‘0’。要求:写出真值表,函数逻辑式,用74HC138实现(74HC138的功能表及逻辑符号如下)。 (B ) 74HC138的功能表 74HC138的逻辑符号

数电第二章习题教学内容

第二章 一、选择题 1.下列表达式中不存在竞争冒险的有 C D 。 A.Y =B +A B B.Y =A B +B C C.Y =A B C +A B D.Y =(A +B )A D 2.若在编码器中有50个编码对象,则要求输出二进制代码位数为B 位。 A.5 B.6 C.10 D.50 3.一个16选一的数据选择器,其地址输入(选择控制输入)端有 C 个。 A.1 B.2 C.4 D.16 4.下列各函数等式中无冒险现象的函数式有 D 。 A.B A AC C B F ++= B.B A BC C A F ++= C.B A B A BC C A F +++= D.C A B A BC B A AC C B F +++++= E.B A B A AC C B F +++= 5.函数C B AB C A F ++=,当变量的取值为 A C D 时,将出现冒险现象。 A.B =C =1 B.B =C =0 C.A =1,C =0 D.A =0,B =0 6.四选一数据选择器的数据输出Y 与数据输入X i 和地址码A i 之间的逻 辑表达式为Y = A 。 A.3X A A X A A X A A X A A 01201101001+++ B.001X A A C.101X A A D.3X A A 01 7.一个8选一数据选择器的数据输入端有 E 个。 A.1 B.2 C.3 D.4 E.8 8.在下列逻辑电路中,不是组合逻辑电路的有 D 。 A.译码器 B.编码器 C.全加器 D.寄存器 9.八路数据分配器,其地址输入端有 C 个。 A.1 B.2 C.3 D.4 E.8 10.组合逻辑电路消除竞争冒险的方法有 A B 。 A. 修改逻辑设计 B.在输出端接入滤波电容 C.后级加缓冲电路 D.屏蔽输入信号的尖峰干扰 11.101键盘的编码器输出 C 位二进制代码。 A.2 B.6 C.7 D.8 12.用三线-八线译码器74L S 138实现原码输出的8路数据分配器,应 A B C 。 A.A ST =1,B ST =D ,C ST =0 B. A ST =1,B ST =D ,C ST =D C.A ST =1,B ST =0,C ST =D D. A ST =D ,B ST =0,C ST =0 13.以下电路中,加以适当辅助门电路, A B 适于实现单输出组合逻辑电路。

第11章 组合逻辑电路

- 59 - 第11章 组合逻辑电路 从本章开始介绍数字集成电路。数字电路或逻辑电路,可以分为组合逻辑电路和时序逻辑电路两类。本章介绍组合逻辑电路,下章介绍时序逻辑电路。门电路是数字电路的基本部件,集成门电路是数字集成电路的一部分,本章首先介绍常用的集成门电路。 组合逻辑电路种类很多,由于应用广泛,中规模集成电路和大规模集成电路都有产品供应,在此将介绍几种常见的组合逻辑电路。 11.1 集成基本门电路 门电路又称逻辑门,是实现各种逻辑关系的基本电路,是组成数字电路的基本部件,由于他既能完成一定的逻辑运算功能,又能像“门”一样控制信号的通断,门打开时,信号可以通过;门闭合时,信号不能通过,因此称为门电路或门逻辑。集成门电路是数字集成电路的一部分,它的产品种类很多,内部电路各异,对一般读者来说,只需将其视为具有某一逻辑功能的器件,对于内部电路可不必深究。 按逻辑功能的不同,门电路可分为很多种,其中实现或、与、非三种逻辑关系的或门电路、与门电路和非门电路是最基本的门电路。 (一)或门电路 1.定义:在决定某一事件的各种条件中,只要有一个或一个以上的条件具备,事件就会发生,符合这一规律的逻辑关系称为或逻辑。 2.电路图及符号 如11-1a 所示电路。只要开关A 和B 中有一个或一个以上闭合,灯F 就会亮。这里开关的闭合和灯亮之间的关系为或逻辑关系。 实现或逻辑关系的电路称为或门。反映在逻辑电路中则是输入和输出电位的高与低两种状态,因此,习惯上把电位的高与低称为高电平和低电平。为便于逻辑运算,分别用0与1来表示。若规定高电平为1,低电平为1,这种逻辑关系称为正逻辑,反之称为负逻辑,本书一律采用正逻辑。或门的逻辑符号如图11-1a 电路所示。F 是输出端,A 和B 是输入端。输入端的数量可以不止两个,输入和输出都只有高电平1和低电平0两种状态。或门反映的逻辑关系是:只要输入中有一个或一个以上为高电平,输出便为高电平。 3.逻辑表达式 F=A+B 4.运算规律 ?? ? ?? =+=+=+A A A A A A 110 图11-1 或逻辑和或门 b) 或门 a) 或逻辑

第三章 组合逻辑电路.

第三章 组合逻辑电路 授课题目: 3.1小规模组合逻辑电路的分析 教学目标: 1、熟练各种门电路的逻辑功能及描述方法。 2、掌握组合逻辑电路的分析方法。 3、小规模组合逻辑电路的设计 教学内容(包括重点、难点): 教学重点:组合逻辑分析步骤,小规模组合逻辑电路设计方法。 教学难点:分析和设计的步骤、思路和注意事项。 教学过程设计 ● 复习并导入新课 问题:1、逻辑电路有哪些表示方法? 2、如何由真值表写出函数表达式? ● 就新课内容提出问题 1、总结如何由具体事件分析输入变量、输出变量和它们的关系? 2、总结如何由具体事件分析输入变量、输出变量和它们的关系? 3、真值表如何写出? ● 讲授新课 3.1 小规模组合逻辑电路的分析和设计 按照逻辑功能的不同特点,可以把数字电路分成两大类,一类叫做组合逻辑电路,另一类叫做时序逻辑电路。 组合逻辑电路的特点:即刻输入,即刻输出。 F A B C

一、组合逻辑电路的分析方法 分析步骤如下: 第一步:写出逻辑函数表达式; 第二步:逻辑表达式进行化简; 第三步:列真值表; 第四步:分析电路的逻辑功能。 注:以上步骤并非一定要遵循,应视具体情况而定,可略去其中的某些步骤。 举例1: 分析上图所示电路的逻辑功能。 解 第一步:写出逻辑表达式。 P=AB N=BC Q=AC F=Q N P ??=AC BC AB ??=AB+BC+AC 第二步:列出真值表。 第三步:逻辑功能描述。由真值表可见,在输入三个变量中,只要有两个以上变量为1,则输出1,所以该电路是一个三变量多数表决器。 二、组合逻辑电路的设计 (一)设计的一般步骤如下: 第一步:分析要求; 第二步:列真值表; 第三步:写出逻辑表达式并化简; 第四步:画逻辑图。 举例2:设计一个三变量多数表决电路,用与非门实现。 解:(1)分析命题; (2

数字电子技术第4章组合逻辑电路习题解答

习题 写出图所示电路的逻辑表达式,并说明电路实现哪种逻辑门的功能。 习题图 解:B A B A B A B A B A F⊕ = + = + = 该电路实现异或门的功能 分析图所示电路,写出输出函数F。 习题图 解:[]B A B B B A F⊕ = ⊕ ⊕ ⊕ =) ( 已知图示电路及输入A、B的波形,试画出相应的输出波形F,不计门的延迟. 解:B A B A B A AB B AB A AB B AB A F⊕ = ? = ? ? ? = ? ? ? = 由与非门构成的某表决电路如图所示。其中A、B、C、D表示4个人,L=1时表示决议通过。 (1)试分析电路,说明决议通过的情况有几种。 (2)分析A、B、C、D四个人中,谁的权利最大。 习题图 解:(1)ABD BC CD ABD BC CD L+ + = ? ? = B A C& & & & D L B A= 1 == 1 F F A B F B A

(2) L 0 0010111 (3)分析图所示逻辑电路,已知S 1﹑S 0为功能控制输入,A ﹑B 为输入信号,L 为输出,求电路所具有的功能。 习题图 解:(1)011011)(S S B S A S S B S A L ⊕⊕+⊕=⊕⊕?⊕= (2) L

试分析图所示电路的逻辑功能。 习题图 解:(1)ABC C B A F )(++= (2) F 01111110 F

电路逻辑功能为:“判输入ABC 是否相同”电路。 已知某组合电路的输入A 、B 、C 和输出F 的波形如下图所示,试写出F 的最简与或表达式。 习题图 解:(1)根据波形图得到真值表: F 1 0010010 C AB BC A C B A F ++= 、设∑= )14,12,10,9,8,4,2(),,,(m D C B A F ,要求用最简单的方法,实现的电路最简单。 1)用与非门实现。 2)用或非门实现。 3) 用与或非门实现。 F C B A

数字电子技术第三章(组合逻辑电路)作业及答案

第三章(组合逻辑电路)作业及答案 1、写出图3-1所示组合逻辑电路中输入输出的逻辑关系式和真值表。 图3-1:组合逻辑电路逻辑图 解:(1)C A A AC B A Y +=++=1 (2)D B C B A CD B A CD B A D BD CD A B A Y ++=++=+=++=)(2 2、试分析图3-2所示组合逻辑电路,写出其逻辑函数表达式。若设S 1﹑S 0为功能控制信号,A ﹑B 为输入信号,L 为输出,说明当S 1﹑S 0取不同信号值时,电路所实现的逻辑功能。 图3-2:组合逻辑电路逻辑图 3、试用与门、或门和非门,或者与门、或门和非门的组合来实现如下各逻辑函数关系,画出相应的逻辑电路图。 (1)1 Y AB BC =+ 1

(2)2Y A C B =+() (3)3 Y ABC B EF G =++() A B C . Y2 A B C . E F G .. . 4、试用门电路设计4线-2线优先编码器,输入、输出信号都是高电平有效,要求任一按键按下时,G S 为1,否则G S =0;还要求没有按键按下时,E O 信号为1,否则为0。

为S,输出信号为Y,要求写出真值表、逻辑函数表达式和画出逻辑电路图。 6、某公司3条装配线各需要100kW电力,采用两台发电动机供电,一台100kW,另外一台是200kW,3条装配线不同时开工,试设计一个发电动机控制电路, 可以按照需求启动发电动机以达到节电的目的。

7、图3-3是由3线/8线译码器74LS138和与非门构成的组合逻辑电路,试写出P1和P2的逻辑表达式,并列出真值表,说明其逻辑功能。 BIN/OCT 0 1 20 1 2 3 4 5 6 7 B A C 1 0 074LS138 P1 P2

第三章_组合逻辑电路

第3章组合逻辑电路 德州学院计算机系:刘树海 3-1概述 组合逻辑电路的特点 ?从功能上 ?从电路结构上 逻辑功能的描述 组合逻辑电路的分析方法 组合逻辑电路的设计方法 一、逻辑抽象 ?分析因果关系,确定输入/输出变量 ?定义逻辑状态的含意(赋值) ?列出真值表 二、写出函数式 三、选定器件类型 四、根据所选器件:对逻辑式化简(用门) 变换(用M S I) 或进行相应的描述(P L D)五、画出逻辑电路图,或下载到P L D 六、工艺设计 设计举例: ?设计一个监视交通信号灯状态的逻辑电路 设计举例: 1.抽象 ?输入变量:红(R)、黄(A)、绿(G) 信号(Z)

2. 写出逻辑表达式 设计举例: 3. 选用小规模S S I 器件 4. 化简 5. 画出逻辑图 3-2若干常用组合逻辑电路 ? 加法器 ? 数值比较器 ? 编码器 ? 译码器 ? 数据选择器 ? 数据分配器 加法器 一、1位半加器 RAG RAG G RA AG R G A R Z ++++=''''''

逻辑图: S i A i B i C i i i i i i i i i i i i A B S +=i i i B A C =B A ⊕=2. 全加器(F ull A dder ) 两个 1 位二进制数相加,考虑低位进位。 A i + B i + C i -1 ( 低位进位 ) = S i ( 和 ) → C i ( 向高位进位 ) 1 0 1 --- A 1 1 1 0 --- B + --- 低位进位 1 0 0 1 0 1 1 1 1 1 -1-1-1- i i i i i i i i i i i i i C B A C B A C B A C B A S +++=1 111----+++=i i i i i i i i i i i i i C B A C B A C B A C B A C --- S 高位进位← 0

第11章 逻辑代数基础与组合逻辑电路[23页]

第11章逻辑代数基础与组合逻辑电路 【重点】 常用数制与码制、不同数制之间的转换。常用逻辑门的符号、表达式及逻辑关系;【难点】 数制之间的转换。逻辑关系。 11.1 数制与编码 11.1.1 数字信号 数字信号只有两个离散值(代表某种对应的逻辑关系),常用数字0和1来表示。 0和1只代表两种对立的状态,称为逻辑0和逻辑1,也称为二值数字逻辑。 数字信号是一种二值信号,用两个电平(高电平和低电平)分别来表示两个逻辑值(逻辑1和逻辑0)。正逻辑规定高电平为逻辑1,低电平为逻辑0。负逻辑规定低电平为逻辑1,高电平为逻辑0。 11.1.2 数制 数制是一种计数的方法,它是进位计数制的简称,也称为进制。采用何种计数方法应根据实际需要而定。 1.常用的几种进制 (1)十进制 十进制是以10为基数的计数制。在十进制中,有0、1、2、3、4、5、6、7、8、9十个数码,它的进位规律是逢十进一。 数码与权的乘积,称为加权系数,十进制数的数值为各位加权系数之和。 (2)二进制 二进制是以2为基数的计数制。在二进制中,只有0和1两个数码,它的进位规律是

逢二进一。 (3)八进制和十六进制 八进制是以8为基数的计数制。在八进制中,有0、1、2、3、4、5、6、7八个不同的数码,它的进位规律是逢八进一。 十六进制是以16为基数的计数制。在十六进制中,有0、1、2、3、4、5、6、7、8、9、A (10)、B (11)、 C (12)、D (13)、E (14)、F (15)十六个不同的数码,它的进位规律是逢十六进一。 2.不同数制间的转换 (1)各种数制转换成十进制 二进制、八进制、十六进制转换成十进制时,只要将它们按权展开,求出各加权系数的和(称为按权展开求和法),便得到相应进制数对应的十进制数。 (11010.011)2=1×24+1×23+0×22+1×21+0×20+0×2-1+1×2-2+1×2-3=(26.375)10 (4C2)16=4×162+12×161+2×160=(1218)10 (2)十进制转换为二进制 十进制数转换为二进制数时,由于整数和小数的转换方法不同,因此,需将整数部分和小数部分分别进行转换,再将转换结果合并在一起,就得到该十进制数转换的完整结果。 将十进制数的整数部分转换为二进制数采用“除基数,取余法,逆排列”的方法,即将整数部分逐次除2,依次记下余数,直到商为0。第一个余数为二进制数的最低位,最后一个余数为最高位。 将十进制数的小数部分转换为二进制数采用“乘基数,取整法,顺排列”的方法,即将小数部分逐次乘以2,取乘积的整数部分作为二进制数的各位。乘积的小数部分继续乘 i i K N 8 i 8?= ∑+∞ -∞ =i i K N 16 i 16?= ∑+∞ -∞ =

第四章 CMOS组合逻辑电路设计I

第四章CMOS组合逻辑电路设计I -静态CMOS逻辑门电路 第一节互补CMOS逻辑门的结构及性能 第二节互补CMOS逻辑门的设计 第三节类NMOS电路(有比电路) 第四节传输门逻辑电路 第五节差分CMOS逻辑电路(有比电路)

第一节静态互补CMOS逻辑电路的结构及性能 一、静态CMOS逻辑电路的结构 二、静态CMOS逻辑电路的性能

A B C V DD Y F F F =(B A C ,,) P MOS NMOS 一、静态CMOS逻辑电路的结构 P U N P D N PUN:pull up net 上拉网络PMOS PDN:pull down net 下拉网络NMOS PUN、PDN为双重网络 设计时需保证,无论什么输入, 仅有一个网络在稳定状态下导通。

静态CMOS 逻辑门特点 1)带“非”的逻辑功能 input: x1,x2, (x) output: 2)逻辑函数F(x1,x2,……,xn)决定于管子的连接关系。 NMOS :PMOS :串与并或 串或并与 ) ,2,1(Xn X X F Y ???=3)每个输入信号同时接一个NMOS 管和一个PMOS 管的栅极, n 输入逻辑门有2n 个管子。 4)静态CMOS 逻辑门保持了CMOS 反相器无比电路的优点。高噪声容限,VOH 、VOL 分别为VDD 和GND

A B A + B A B A ? B NMOS 串与 并或 F1 F2 F1 F2 F =F1F2 +F =F1F2 A B C F =A B C A B C F =A B C ++

A B A ? B A B A B F 001 011 101 110 A B 例:CMOS与非门 A ? B = A + B [!(A ? B) = !A + !B or !(A & B) = !A | !B]

第4章 组合逻辑电路课后答案

第4章 [题].分析图电路的逻辑功能,写出输出的逻辑函数式,列出真值表,说明电路逻辑功能的特点。 图P4.1 B Y AP 56 P P = 图 解:(1)逻辑表达式 ()()() 5623442344 232323232323 Y P P P P P CP P P P CP P P C CP P P P C C P P P P C P PC ===+=+=++=+ 2311P P BP AP BABAAB AB AB ===+ ()()()2323Y P P C P P C AB AB C AB ABC AB AB C AB AB C ABC ABC ABC ABC =+=+++=+++=+++ (2)真值表 (3)功能 从真值表看出,这是一个三变量的奇偶检测电路,当输入变量中有偶数个1和全为0

时,Y =1,否则Y=0。 [题] 分析图电路的逻辑功能,写出Y 1、、Y 2的逻辑函数式,列出真值表,指出电路完成什么逻辑功能。 图P4.3 B 1 Y 2 [解] 解: 2Y AB BC AC =++ 12 Y ABC A B C Y ABC A B C AB BC AC ABC ABC ABC ABC =+++=+++++=+++()()) 真值表: 由真值表可知:、C 为加数、被加数和低位的进位,Y 1为“和”,Y 2为“进位”。

[题] 图是对十进制数9求补的集成电路CC14561的逻辑图,写出当COMP=1、Z=0、和COMP=0、Z=0时,Y 1~Y 4的逻辑式,列出真值表。 图P4.4 [解] (1)COMP=1、Z=0时,TG 1、TG 3、TG 5导通,TG 2、TG 4、TG 6关断。 3232211 , ,A A Y A Y A Y ⊕===, 4324A A A Y ++= (2)COMP=0、Z=0时, Y 1=A 1, Y 2=A 2, Y 3=A 3, Y 4=A 4。 、 COMP=1、Z=0时的真值表 COMP=0、Z=0的真值表从略。 [题] 用与非门设 1,输

第 3章组合逻辑电路

第 3章 组合逻辑电路 逻辑电路按照逻辑功能的不同可分为两大类:一类是组合逻辑电路(简称组合电路), 另一类是时序逻辑电路(简称时序电路)。所谓组合电路是指电路在任一时刻的输出状态只与同一时刻各输入状态的组合有关,而与前一时刻的输出状态无关。组合电路的示意图如图所示。组合逻辑电路的特点: (1) 输出、输入之间没有反馈延迟通路。 (2) 电路中不含记忆元件。 图 组合电路示意图 组合逻辑电路的分析方法和设计方法 (1)3.1.1组合逻辑电路的分析方法 分析组合逻辑电路的目的是为了确定已知电路的逻辑功能,或者检查电路设计是否合 理。 组合逻辑电路的分析步骤如下: (1) 根据已知的逻辑图, 从输入到输出逐级写出逻辑函数表达式。 (2) 利用公式法或卡诺图法化简逻辑函数表达式。 (3) 列真值表, 确定其逻辑功能。 例 1 分析如图所示组合逻辑电路的功能。 解(1 ) X 1 X 2 X n 12 m 输入信号 输出信 号 AC BC AB Y ??=AC BC AB Y ++=A B B C A C Y

(2)化简 (3)例真值表:如表3·1所示图例 1 的逻辑电路

表例1的真值表 由表可知,若输入两个或者两个以上的1(或0), 输出Y为1(或0), 此电路在实际应用中可作为多数表决电路使用。 例 2分析如图所示组合逻辑电路的功能。 解(1) 写出如下逻辑表达式: (2) 化简 AB Y= 1 AB A Y A Y? = ? = 1 2 B AB B Y Y? = ? = 1 3 B AB AB A Y Y Y? ? = = 3 2 B AB AB A Y? ? = ) ( ) (B AB AB A+ ? + = AB B A+ = B A⊕ =

第四章 组合逻辑电路的分析与组合逻辑模块新

第一到四章 复习总结 一、基本要求 1.正确理解以下基本概念:逻辑变量、逻辑函数、“与、或、非”基本逻辑关系、数制及码制。 2.熟练掌握逻辑函数的几种常用的表示方法:真值表、逻辑表达式、逻辑图、卡诺图。并能熟练的相互转换。 3. 熟练掌握逻辑代数基本定律、基本运算规则,能够熟练用其对逻辑函数进行代数化简及表达式转换。 4. 熟练掌握卡诺图化简法。 5.熟练掌握组合逻辑电路的分析方法和设计方法。 6.熟练掌握译码器、编码器、数据选择器、数值比较器的逻辑功能及常用中规模集成电路的应用。 7.熟练掌握半加器、全加器的逻辑功能,设计方法。 二、 解答示例及解题技巧 1. 用代数法化简下列各式: (1)C AB C B BC A AC +++ =C AB C B BC A AC ++?(摩根定律) =C AB C B C B A C A ++++?+)()((摩根定律) =C AB C B C C B C A C A B A ++++++(分配律) =C B C B A ++(吸收律) =B C B A ++(吸收律) =B +(吸收律) =BC (摩根定律)

(2)C B A ABC C B A+ + ⊕) ( =C B A C B A) ( ) (⊕ + ⊕(分配律) =C B A B A]) ( ) [(⊕ + ⊕(分配律) =C (互补律) 2.用卡诺图法化简下列各式: (1)L(A,B,C,D)=∑m(3,4,5,6,9,10,12,13,14,15) 解:将逻辑函数填入卡诺图并圈“1”,如图所示。对应写出逻辑表达式: CD B A D AC A AB D B B L+ + + + + = AB CD L A B C D 1 1 1 1 1 1 1 1 1 1 L CD 1 C B 1 1 A AB D 1 1 (a) (b) (2)L(A,B,C,D)=∑m(1,4,6,9,13)+∑d (0,3,5,7,11,15) 解:将逻辑函数填入卡诺图并圈“1”,如图所示。对应写出逻辑表达式: D C B A L+ = *讨论:在对逻辑函数进行卡诺图化简时,要注意下列几个问题:

相关文档
相关文档 最新文档