文档库 最新最全的文档下载
当前位置:文档库 › 后端流程(初学必看)

后端流程(初学必看)

后端流程(初学必看)
后端流程(初学必看)

基本后端流程(漂流&雪拧)

----- 2010/7/3---2010/7/8

本教程将通过一个8*8的乘法器来进行一个从verilog代码到版图的整个流程(当然只是基本流程,因为真正一个大型的设计不是那么简单就完成的),此教程的目的就是为了让大家尽快了解数字IC设计的大概流程,为以后学习建立一个基础。此教程只是本人探索实验的结果,并不代表内容都是正确的,只是为了说明大概的流程,里面一定还有很多未完善并且有错误的地方,我在今后的学习当中会对其逐一完善和修正。

此后端流程大致包括一下内容:

1.逻辑综合(逻辑综合是干吗的就不用解释了把?)

2.设计的形式验证(工具formality)

形式验证就是功能验证,主要验证流程中的各个阶段的代码功能是否一致,包括综合前RTL 代码和综合后网表的验证,因为如今IC设计的规模越来越大,如果对门级网表进行动态仿真的话,会花费较长的时间(规模大的话甚至要数星期),这对于一个对时间要求严格(设计周期短)的asic设计来说是不可容忍的,而形式验证只用几小时即可完成一个大型的验证。另外,因为版图后做了时钟树综合,时钟树的插入意味着进入布图工具的原来的网表已经被修改了,所以有必要验证与原来的网表是逻辑等价的。

3.静态时序分析(STA),某种程度上来说,STA是ASIC设计中最重要的步骤,使用

primetime对整个设计布图前的静态时序分析,没有时序违规,则进入下一步,否则重新进行综合。(PR后也需作signoff的时序分析)

4.使用cadence公司的SOCencounter对综合后的网表进行自动布局布线(APR)

5.自动布局以后得到具体的延时信息(sdf文件,由寄生RC和互联RC所组成)反标注到

网表,再做静态时序分析,与综合类似,静态时序分析是一个迭代的过程,它与芯片布局布线的联系非常紧密,这个操作通常是需要执行许多次才能满足时序需求,如果没违规,则进入下一步。

6.APR后的门级功能仿真(如果需要)

7.进行DRC和LVS,如果通过,则进入下一步。

8.用abstract对此8*8乘法器进行抽取,产生一个lef文件,相当于一个hard macro。

9.将此macro作为一个模块在另外一个top设计中进行调用。

10.设计一个新的ASIC,第二次设计,我们需要添加PAD,因为没有PAD,就不是一个完整

的芯片,具体操作下面会说。

11.重复第4到7步

1.逻辑综合

1)设计的8*8verilog代码如下

module mux (clk,clr,data1,data2,dataout);

input clk,clr;

input [7:0] data1,data2;

output reg [15:0] dataout;

always @(posedge clk)

begin

if(!clr)

begin

dataout<=0;

end

else

begin

dataout<=data1*data2;

end

end

endmodule

2)综合之前,我们要选取库,写好约束条件,修改dc的启动文件synopsys_dc.setup,目标库选择TSMC(此设计都是用TSMC18的库)的typical.db。(选择max库会比较好) Dc的命令众多,但是最基本的命令差不多,此设计的约束文件命令如下:

create_clock -period 10 [get_ports clk] //用于时钟的创建

set_clock_latency -source -max 0.2 [get_ports clk] //外部时钟到core的clk连线延时

set_clock_latency -max 0.1 [get_ports clk] //core的clk到寄存器clk端的net连线延时

set_clock_uncertainty -setup 2 [get_ports clk] //时钟延时的不确定性,求setup违规时会被计算进去

set_clock_uncertainty –hold 1 【all_clocks】

set_input_delay -max 0.5 -clock clk [get_ports [list [remove_from_coll [all_inputs] clk] ] //输入延时,外部信号到input端的连线延时

set_output_delay -max 0.5 -clock clk [all_outputs] //输出延时

set_driving_cell -lib_cell INVX4 [all_inputs] //输入端的驱动强度

set_load -pin_load 0.0659726 [all_outputs] //输出端的驱动力

set_wire_load_model -name tsmc18_wl10 -library typical //内部net的连线模型

set_wire_load_mode enclosed //定义建模连线负载相关模式

set_max_area 0

compile

report_timing

report_constraint

change_names -rule verilog –hier

set_fix_multiple_ports_net –all

write -format verilog -hier -output mux.sv //输出网表,自动布局布线需要write -format ddc -hier -output mux.ddc //输出ddc

write_sdf mux.sdf //输出延时文件,静态时序分析时需要

write_sdc mux.sdc //输出约束信息,自动布局布线需要

3)逻辑综合

启动design_vision。Read->mux.v

输入约束文件。File->excute script->verti.con

之后会产生mux.sv,mux.sdc,mux.sdf,mux.ddc等文件

4)时序分析

综合以后我们需要分析一下时序,看时序是否符合我们的要求,综合实际上是一个setup 时间的满足过程,但是我们综合的时候,连线的负载只是库提供的(即上面的wire_load),并不是实际的延时,所以一般做完综合以后,时间余量(slack)应该为时钟的30%(经验值),以便为后面实际布局布线留下充足的延时空间。因为如果slack太小,甚至接近于0,虽然我们看起来是没有时序违规的,但是实际布局以后,时序肯定无法满足。

使用report_timing命令,可以查看时序分析报告:

****************************************

Report : timing

-path full

-delay max

-max_paths 1

-sort_by group

Design : mux

Version: D-2010.03-SP1

Date : Fri Jul 2 12:29:44 2010

****************************************

Operating Conditions: typical Library: typical(模型库)

Wire Load Model Mode: enclosed

Startpoint: data2[4] (input port clocked by clk)

Endpoint: dataout_reg_15_

(rising edge-triggered flip-flop clocked by clk)

Path Group: clk

Path Type: max

Des/Clust/Port Wire Load Model Library

------------------------------------------------

mux tsmc18_wl10 typical (线载模型及库)

Point Incr Path

-------------------------------------------------------------------------- clock clk (rise edge) 0.00 0.00 clock network delay (ideal) 0.00 0.00 input external delay 0.50 0.50 f data2[4] (in) 0.01 0.51 f mult_14/b[4] (mux_DW_mult_uns_0) 0.00 0.51 f mult_14/U131/Y (INVX1) 0.54 1.05 r mult_14/U161/Y (NOR2X1) 0.14 1.18 f mult_14/U39/S (CMPR42X1) 0.68 1.87 f mult_14/U12/CO (ADDFX2) 0.32 2.19 f mult_14/U11/CO (ADDFX2) 0.23 2.42 f mult_14/U10/CO (ADDFX2) 0.23 2.65 f mult_14/U9/CO (ADDFX2) 0.23 2.88 f mult_14/U8/CO (ADDFX2) 0.23 3.10 f mult_14/U7/CO (ADDFX2) 0.23 3.33 f mult_14/U6/CO (ADDFX2) 0.23 3.56 f mult_14/U5/CO (ADDFX2) 0.23 3.79 f mult_14/U4/CO (ADDFX2) 0.23 4.02 f mult_14/U3/CO (ADDFX2) 0.23 4.25 f mult_14/U2/CO (ADDFX2) 0.22 4.47 f mult_14/product[15] (mux_DW_mult_uns_0) 0.00 4.47 f dataout_reg_15_/RN (DFFTRXL) 0.00 4.47 f data arrival time 4.47

clock clk (rise edge) 10.00 10.00 clock network delay (ideal) 0.30 10.30 clock uncertainty -0.10 10.20 dataout_reg_15_/CK (DFFTRXL) 0.00 10.20 r library setup time -0.19 10.01 data required time 10.01

-------------------------------------------------------------------------- data required time 10.01 data arrival time -4.47

-------------------------------------------------------------------------- slack (MET) 5.55 我们来看以上报告,dc报告的时候会显示出关键路径,即延时最大的路径,时序分析包括两段,前面一段是信号的延迟时间,即data arrival time 为4.47,下面是计算要求时间,也即相对于时钟,设计所能忍受的最大延时,由于到达寄存器clk端延时,即clock network delay,所以设计增加了0.30的余量,同样由于时钟的不确定度(可能提前也可能延后0.1),我们取最坏情况,就是时钟超前0.1,则时间余量减去0.1,最后一个是门的建立时间要求,是0.19,最后得到数据的要求时间。

Slack是要求时间减去到达时间的差值,slack越大越好。越大说明留给布局布线的时序越宽松。从报告中我们看出,时序余量为5.55,说明时序达到了要求,足够满足我们以后布局布线的时序要求。

当然,我们有专门的时序分析工具,primetime,下面会稍微介绍。

2.形式验证

1)怎么保证综合前和综合后的网表逻辑功能是一致的呢,对门级网表进行动态仿真,又太浪费时间,于是,一款强大的验证工具formality,给了我们很好的帮助。

2)形式验证数据准备:综合前RTL代码,综合后的网表,综合所用到的库。

3)验证过程如下:

1.首先我们打开formality,命令为fm_shell(命令行界面),formality(图形界面)。初学

者一般使用图形界面,使用图形界面的时候,工具会自动产生一个log文件,记录命令,我们可以将这个文件内容做一个fms格式,这样在下次验证的时候可以使用命令界面。

2.打开formality如下

第一步:首先我们加入原RTL代码,reference->read_design file->verilog->mux.v,选择好以后load file

第二步:然后选择库,没加库之前,FM会自动加载与工艺无关的库,所以我们要自己把自己的目标库加上去,reference->read DB libarary->DB,选择typical.db

第三步:设置top名reference->set top design 我们选择mux为top名同样的方法对网表进行设置(第二个菜单栏implementation)

然后转到第四栏,点击run matching

最后转到第五栏,verify,如果网表无错,会显示验证通过。

3 静态时序分析

静态时序分析主要针对大型ASIC设计,

4 自动布局布线

1)数据准备

第一:需要综合后的网表以及时序约束文件mux.sv,mux.sdc

第二:需要自动布局布线的物理库(lef文件,这里用到tsmc18_6lm_cic.lef, tsmc18_6lm_antenna_cic.lef)

为了能够了解lef文档的作用,这里对lef做简单的介绍,lef一般分为两种:

一种是技术物理库,主要包含工艺信息,设计规则信息,金属通孔信息等。下例是对金属一层的定义,TYPE指明METAL1是可布线层,WIDTH定义的是METAL1的默认布线宽度,SPACING用于设定METAL1布线间距。DIRECTION HORIZONTAL指明METAL1是用于水平走线,当然这并不意味着它不能垂直走线,在一些布线资源较少的区域,还是可以选择垂直布线的。具体介绍,可以参考相关技术文档。

LAYER METAL1

TYPE ROUTING ;

WIDTH 0.230 ;

MAXWIDTH 9.9 ;

AREA 0.202 ;

SPACING 0.230 ;

SPACING 0.6 RANGE 10.0 100000.0 ;

PITCH 0.560 ;

DIRECTION HORIZONTAL ;

EDGECAPACITANCE 9.1090e-05 ;

END METAL1

另外一种就是单元物理库,定义了单元库中各单元的信息,文件又有两部分一种是SITE语句对布局(placement)最小单位的定义,另一部分是采用MACRO语句对单元属性及几何形状的描述,下例是对一个与门为例来看看lef是如何描述它的。MACRO是单元定义的关键字,每一个MACRO代表一个单元。CLASS core说明该单元是用于芯片的核心区,SIZE 确定了单元的面积大小,比如5.04是代表该单元的高度,后面我们做单元供电route的时候,可以看到它们的宽度就是这个数值。再后面就是定义引脚A,B,Y,VDD,VSS等。MACRO AND2X1

CLASS CORE ;

FOREIGN AND2X1 0.000 0.000 ;

ORIGIN 0.000 0.000 ;

LEQ AND2XL ;

SIZE 2.640 BY 5.040 ;

SYMMETRY x y ;

SITE tsm3site ;

PIN Y

DIRECTION OUTPUT ;

PORT

LAYER METAL1 ;

RECT 2.355 2.380 2.500 2.660 ;

END

END Y

PIN B

DIRECTION INPUT ;

PORT

LAYER METAL1 ;

RECT 0.800 2.315 1.215 2.895 ;

END

END B

PIN A

DIRECTION INPUT ;

PORT

LAYER METAL1 ;

RECT 0.150 1.820 0.565 2.315 ;

END

END A

PIN VSS

DIRECTION INOUT ;

USE ground ;

SHAPE ABUTMENT ;

PORT

LAYER METAL1 ;

RECT 1.790 -0.400 2.640 0.400 ;

RECT 1.450 -0.400 1.790 0.575 ;

RECT 0.000 -0.400 1.450 0.400 ;

END

END VSS

PIN VDD

DIRECTION INOUT ;

USE power ;

SHAPE ABUTMENT ;

PORT

LAYER METAL1 ;

RECT 1.755 4.640 2.640 5.440

END

END VDD

OBS

LAYER METAL1 ;

RECT 1.835 1.935 1.885 2.355 ;

END

END AND2X1

第三:时序库文件,typical.lib,也就是时序文件,定义了门的各种时序信息,某种意义来讲,这个和综合使用的db库是等价的。

2)布局布线过程:

第一步:打开encounter 把数据输入,另外在advanced栏的Power相应位置填上VDD,和VSS。如下图,设置完以后,记得把设置的配置文件做一个save以便于下次使用

第二步:打开以后,我们可以看到芯片区域,左边粉红色的就是标准单元,中间那个就是我们要设计的区域,64%是指cell面积的占有率,一般来说控制在70%左右,布线的时候不会引起拥塞。

另外我们需要对芯片进行稍微的更改,Floorplan->specify floorplan.,将core to IO那些项都

填上45,留给电源环的放置。

第三步:添加电源环

设置如下图,

NET填写VDD和VSS,layer选择顶层的两层金属,宽度设置为20(这个不定,可以根据实际设计来定),offset选择center in channel,则电源环会被设置在IO与core之间。

还需要横竖添加stripes,降低IRdrop。

第四步:自动布局以及布置标准单元,因为此设计较小,并没有block,所以可以直接进行标准单元的放置。Place->standard cells and blocaks->OK

然后我们发现标准单元已经被加进去了:

第五步:布置好了以后,我们需要将电源,地,等接口先连接起来,首先我们在floorplan 中选择global net connection,分别将VDD,VSS等都连接起来。

然后我们需要specify route将电源和地线先连接起来,选择route->specify route

因为我们这个设计只有标准单元,所以我们只要选择标准单元的布线即可:

完成以后,点击OK,会得到下面的图:每行的row都有线连接到外面的电源环

第六步:时钟树综合(CTS),这是一个APR设计中最重要的一环,为什么要进行时钟树综合呢,简单地说,因为信号传输的延时,我们需要让相应路径的时钟路径的也具有同样的延

时,通过添加时钟缓冲器的方法,来消除各路径的建立时间,具体请参考相关书籍和资料。添加好时钟树以后的版图如下:加了时钟树以后的版图密集了很多,因为加了很多buf。

时钟树的脚本:

AutoCTSRootPin clk

Period 10ns

MaxDelay 500ps # set_clock_latency

MinDelay 0ps # set_clock_latency

MaxSkew 100ps

SinkMaxTran 400ps

BufMaxTran 400ps

Obstruction NO

DetailReport YES

PadBufAfterGate NO

RouteClkNet NO

PostOpt YES

OptAddBuffer YES

OptAddBufferLimit 100

NoGating NO

Buffer CLKBUFX1 CLKBUFXL CLKBUFX2 CLKBUFX3 CLKBUFX4 CLKBUFX8 CLKBUFX12 CLKBUFX16 CLKBUFX20 CLKINVXL CLKINVX1 CLKINVX2 CLKINVX3 CLKINVX4 CLKINVX8 CLKINVX12 CLKINVX16 CLKINVX20

END

然后将脚本选中,并进行时钟树综合。

第七步:优化设计,命令optDesign –postCTS,然后report_timing查看时序报告,确定无违规,再进行完全布线。

第八步:完全布线,route ->nanoroute->route

之后得到的版图如下所示:

第九步:保存设计,提取需要的数据。

这里特别注意提取gds文件的时候,需要指定库文件中的streamOut.map文件,和merge gds

(tsmc18_core.gds)文件,如图所示

保存网表,并将此版图提取的网表做一次formality,与原代码匹配成功。

再提取def文件,保存为mux.def

5 第二次静态时序分析

用版图实际提取的延时文件进行

6 APR后仿真

用modelsim对版图提取的网表和sdf文件进行仿真。

7 用calibre对版图进行DRC及其LVS验证

在做这步之前,我们需要把相关的文档拷贝到icfb的工作目录下

Encounter导出的gds文档:这里是mux8.gds(注意merge库的map文件)

技术文档如:0.18um_Virtuoso4.4.tf,可以在厂家提供的库中去找

显示文件:display.drf

Caliber验证文件:drc,lvs文档

第一步:将encounter的版图数据导入virtuoso,打开icfb&,选择file->import->stream

然后将版图信息和技术文件填入:

导入成功以后会出现我们所做的库,mux就是我们encounter中所画的版图。

我们把版图打开:

这就是我们所画的版图然后在此进行drc,和lvs,通过以后再进行下面的工作。

新手炒股入门必读

新手炒股入门必读 第一、关于补仓和止损: 买股票一定要有好心态。目前你低位持有该股有利润是好事,可是如果你目前价加仓的话,你的持仓成本就抬高了。加上加仓后你的资金买得更多。一旦股价回落可能下跌几个点你的股票就要被套了,前面的赢利全部烟消云散。往往得不偿失。没太大意义。做股票一定要学会控制资金的运用和科学的管理。做任何股票,力求一控制风险,二再谈收益。散户在如今的世道里永远是慢半拍的,因为和主力相比,永远是不对等的弱势地位。所以要想自己在股市里能获得好的收益,一定要让自己具备一套科学的资金管理思路和简单实用的操作理念,千万不要忘记风险。 止损短线股设在5%。中线考虑的设在15%。一般股票进入下跌空间,一般都有续跌的空间,没有完全走稳是不可以补的。一般没有套10%以上不要考虑补仓,补仓不是一个好办法,对于操作来说,表面看摊低了成本,可是你的资金量多了,风险是在家大,如果继续跌你可能心态完全被跌坏,亏得也更多,那样是得不偿失的。个人觉得做股票还是坚持止损,那样最主动。 第二、股票基本面技术面相关分析 (一)怎样进行基本面分析和技术面分析? 其实我也很想好好给大家讲讲个人选股,操作的理念。我想基本面分析和技术分析都是结合在一起的。我一直觉得股票投资是很灵性的。有时候看天分。所谓技术分析和基本面分析其实说起来实在话长。因为个人时间关系,实在没办法一一讲清楚,不过以后我会多讲一些这方面的内容。希望能给大家一些帮助吧。 个人觉得分析股票未来的走势要有很多方面: 1. 政策面:决定大市的走势很多时候来自于政策。这往往是一段时期股市走好走坏的基础。从国内股市十几年的走势来看,大牛市和大熊市都因政策面而起。所以理性看政策面到向决定了未来大盘的运行格局。 2. 个股基本面:每股收益,成长性,市盈率。题材都属于基本面的信息。选择一个好股很重要。要选好股,光看股票软件里的个股信息是不够的,那些信息其实知道用股票分析软件的投资者都会看,那些是没有价值的。因为大家都知道。真正有价值的信息一定掌握在少数人手里的。除了那些,报表分析还有行业分析就更关键了。这些信息可以在网上从该公司所处行业国家相关行业排名以及公司在所在城市上交利税的情况。选一个好的股票,选一个好的买点为未来股票走势打下一个好的基础。

方舟:生存进化GTX980Ti画面帧数实测及操作入门技巧

??:?存进化-GTX980Ti画?帧数实测及操作?门技巧 不要以为买了G T X980Ti这样的神卡就逆天了,试试《???存进化》,教你重新思考??。下?是玩家“澄之?由”分享的《???存进化》G T X980Ti画?帧数实测及操作?门技巧,?起来看看吧。 ?乎是在G T X980T I发布的同时,?款名为《??:?存进化》的P C 游戏也发售了,你要问我这游戏有多好玩我不好说,但是刚出来B U G多如??,短短?天就打了29个补丁3个G的容量,这个游戏的卖点主要是采?了虚幻引擎4,所谓好马配好鞍,?个是新硬件?个新软件?且还同年同?同??,那就?脆也同年同?同?试吧

我的配置就是I7-4770K+G T X980T I,3D M A R K11的成绩?乎就等同于T I TA N X的分数了,问题这货才4999,幸好我眼疾?快第?时间抢到了,昨天看驱动之家新闻X F X?爆390X还是汤加的核?也就是290X的马甲,果然就是农企还是这么不给?啊 扯远了,???存进化这游戏?前出来没多久,原本就是英?游戏,三?妈虽然做了?个翻译补丁,不过装上去就会爆卡??,我的980T I居然跑了惊?的2帧成绩吓的我都怀疑??了,没办法应该是本?游戏机制有问题暂时只能忍着英?玩吧

画?设置本来?拍腿堂堂I7+G T X980T I肯定直接拉最?啦,结果瞬变我就被教育了,e p i c画质只有25帧左右,为了保持流畅度还是暂时? H I G H吧 这个游戏虽然也能捏?,但是其实根本没办法和?滚或者模拟??系列?,怎么捏我都觉得还没有原版好看,尤其捏胸部的时候把胸部放?后结果就是全是胸肌??块“扎扎实实”的,像个?头的样,?概是为了真实还原原始?的特点?。。

sketchup入门教程

我想大家能静下心来把这篇文章看完对下面的操作就会轻松很多了SKETCHUP初学者圣经 这是老外写的一篇初学者的指南,主要阐述了SKETCHUP的基本建模思路和原则及技巧,觉得很好,堪称圣经,我将它翻译过来,篇幅比较长,希望大家能够认真研读一下,虽说是初学者圣经,但里面的内容对于老手来说也堪称经典,同样可以读一读,里面有些内容需要读者由SketchUp的基本知识,大家可以再看本站FLASH教程的同时读一下这篇文章,我想收获会更大。 这是我自己的一些经验。如果你对SketchUp还没有很多的了解和经验,那么试试按照下面说得去做,直到你得出了自己的经验和见解。我采用下面这些方法,是因为它们适合我工作的习惯,其他人可能会有不同的适合自己的方法,如果你有更好的招数,拿出来和大家共享一下子吧! 建模--步骤 首先,一条原则是我们应该尽量将模型量控制在最简单,最小。当然,如果你以建立细致入微的模型为乐趣的话,那么可以不必遵循这个原则。但是,如果你是用SketchUp来养家活口,维持生计,那么过分细致的模型是没有必要的。你应该努力在完成工作的前提下,将模型建的尽量简单。一旦你不得不更改模型的时候,尤其是本来即将完成时,需要更改的话,越简单的模型越容易修改。 如果你的模型按照一定的原则清晰的分成了组或组件,那么其实你就

可以将任意组件保存成一个单独的文件,在需要改变组件的时候,只需要打开保存的那个文件,进行编辑并保存,然后在含有这个组件的模型中,重新调用就可以了,这样做不必受场景中其他东西的干扰,编辑速度也快。如果你边建模边推敲方案,那么就先建立一个大的体块,随着你设计的深入,逐渐将模型加入细节。你可以轻松的将一些粗糙的大体块替换为精致的模型,当然前提是你要有足够深入的设计。 导入CAD文件 将CAD文件导入SketchUp,然后通过简单地描一描线段,使它生成面,然后推推拉拉地建立起一个3D模型,这听起来确实令人兴奋。但是这样工作的效果实际上取决于你的CAD图的质量。 导入CAD的2D文件,实际上能产生许多令人头疼的麻烦。熟手画得简单的轮廓线的CAD图不会产生什么大的麻烦,生手画的细节繁多,杂乱无章的CAD图就不那么容易利用了。“带有小小的线段、转角处两条线没有相交、一条线和另外一条看上去平行实际上只差一点点”,有这些问题的CAD图,都会在你建立模型的时候成为你的绊脚石,似乎应该说是钢针,因为它们小的让你很难察觉和纠正。用这样的CAD图导入SketchUp作为底图,你花费在纠正错误上的时间反倒会比你节省的时间多。 接近完成的CAD图纸,实际上包含了大量你建模时用不着的信息。你在CAD制图中过分详细的分层方法或者是重叠的线等等,都是在

maya新手入门必看

【十万个我知道】之maya入门必看 Autodesk Maya算是三维动画软件中运用最广,效果最好的了。 作为一个大学学习过影视特效制作的学渣,刚进入maya看到满屏的英文和灰扑扑的界画,内心是崩溃的。只看的懂copy和paste根本不懂神马是Follicles、Dynamic Constraints(动力学约束)啊!!然后就看到老师做出的动画视频瞬间被其俘虏,感觉真是牛X啊高大上啊,学好这个软件我就是大神啊,各种烧包炫耀月薪过万不是梦啊!!但是!!!!!!!! 但是首先,想要学好maya,还需要你会一些别的技能,虽然当时我认为零基础也是完全可以的,但是越往后学就觉得这些“别的技能”是多么重要,能够助你一臂之力,事半功倍!!! 1)首先,你英文基础要好些。 因为教室的软件全英语一个汉字都木有啊,这对于英语听力听到的都是@#¥%……&*的我来说,简直了。。。笨人就用笨方法,把不熟悉的单词记在小本子上没事就看一遍,混个脸熟慢慢也就记住了,当然现在是有汉化版的福利了。 2)另外,你还需要有一定的美术功底。 初期学习一些飘动丝绸、粒子特效时,对这方面要求不高,生活中的一些基础色彩知识就可以做的很好。但如果是学习角色模型的话,那么就需要你会一些基础的美术知识比如素描,素描中的线条和结构很重要,会直接影响你模型的身体比例。上学时业余学习素描每天画线条画的都要吐了! Maya在影视动画制作软件中是比较难学的(当然会有人反对这个说法,就像现在好多人都会基础的PS却不了解PS到底有多深还一直在讲自己是PS大神)maya学的越来越多时,说的最多的一句就是“妈呀,maya好难!!”。T_T 大学里老师在课堂上讲的内容基本有限,回宿舍在自己内存条1333的电脑上做maya 作业,做上N个小时是常事,所以如果有条件大家就去搞台配置好的电脑吧!课本上的内容如果单靠脑容量有限的我(嗯。)有一部分也理解不了,这个时候你就需要有个大神来系统的指导你,如果你找不到老师,身边又都是学渣的话,那么你就悲剧了,像我当时就抱着,找不到人哎算了吧以后再说吧的心态,所以现在maya仍然是一知半解的状态。但如果各位看官是要以学习maya为今后职业的话,那么你就很需要去经过系统的学习了,因为自学学到的知识毕竟是有限的,而用人单位更在意的是你有没有出色的作品和你是否经过系统而专业的学习。在这上面,我也曾在面试时遇到过奇葩又犀利的面试官,人家直接就说如果你只有对这行的兴趣和一腔没什么卵用的热血,那么对不起,我们不会用你。别人不会关心你的人品背景是富二代还是负二代,只要你有自己的作品和独立完成项目的能力,而能力又哪里来??上了环球数码以后几个月的学习收获很大,认识了很多业内大牛,还有各种行业各种类型的奇葩小伙伴们,老师也很负责,进步自然也是大大滴!(学到最后如果你能力还不错建议大家在网上接一些项目来做,提升很快!)当然,参加任何学习都要有时间和耐心,布置的每一项作业都是有目的的你要好好的完成并且要大量的练习!混日子的结果大家都知道咯。不多说,直接上图。 模型作品:家具会议

FARO测量臂技术说明书

技 术 说 明 书 序号 项目名称 具体描述 1.FARO测量臂 6轴测量臂 2.设备机构 1.测量臂材料为航空碳素复合纤维材料。具有良好的 动、静态刚度及热稳定性,具有完善的温度补偿功能,能在较宽的温度范围内保证测量精度。 2.内置式平衡机构,保证操作应用自如,空间任意位 置无死角。 3.软件和测量臂都是FARO公司独立开发,保证了系 统的一致性、可扩展性以及升级的方便性,使产品做到真正的无缝接口。 3.测量范围 测量臂半径总长从0.6~1.8m(从基座中心到最末一个关节轴心距离)。测量臂的实际单次测量范围真正达到0-3.7米。 4.测量精度 空间长度测量精度为E≤0.018 重复性:≤0.013mm。 单点球测精度:≤0.0051mm。 5.内置充电电池 具有内置充电电池,保证设备在交流电不稳或没有交流电的情况下可提供连续7小时以上的测量服务。 https://www.wendangku.net/doc/361051838.html,B接口 使用USB通用数据接口通讯线缆,可以自由实现热插拔,无需关闭计算机或测量设备 7.温度补偿 在每个轴位都设置了温度传感器,在测量臂基座内具有温度补偿系统,设备在40度高温下对精度影响不大,确保精度的稳定性。 8.工作环境 1.全封闭设计,抗干扰性好,可在车间使用。 2.符合EN50081-1安全标准。 3.保证精度的工作振动范围:(55-2000)HZ (IEC68-2-27)。 9.厂家资质 FARO是行业内唯一美国纳斯达克上市公司,通过ISO9001制造标准,并通过检验/验收/计量试验采用的IEC 17025 国际标准。 10.测头更换及校准 1.FARO测量系统的测头是现场校准和管理的,这可以 很好的消除每次拆装测头所引起的测头到最后一个关节的一些细微的差别。 2.标定测头可在30秒钟内完成,并且本系统还具有 为用户自己特殊设计的测头标定功能。无须向厂家寻求帮助标定测头, 更无须仅能在软件中选择出厂时标定好的测头数据文件调用和转换。 3.内置双模式自动触发和硬式测头,用户可随时校准 测头,绝对保证系统精度的可靠。

《魔灵召唤》教你如何不走弯路 新手必看

《魔灵召唤》教你如何不走弯路新手必看任何游戏新手是开始和动力,新手攻略作为攻略组的关注方向之一我们始终不会放弃。《魔灵召唤》游戏的精髓就是狗粮、符文、副本、竞技场。除了狗粮,后面就有搭配组合了。接下来请跟随小编的脚步,踏上游戏的征程吧~~ 先总结下:这个游戏土豪和非R的重要程度,相对来说还是看脸的! 既然脸不好,那就要认命,那你值得来这边看一看。 NO.1 选择初始,好吧,这个问题其实挺纠结的。 有人侧重竞技场,有人侧重刷符文,有人侧重带狗粮等等。。。。 楼主推荐几个常见的利器供参考吧,让你有个明确的初始。 1.全部随机,因为游戏玩的就是乐趣,从仙女出发,体验游戏完整性! 2.竞技场: 首推火*瓦尔基里 33%速度的队长技能,让你完美先手!(符文且先不提!) 再推水*精灵 19%速度队长技能,2、3技能的控制,不觉醒也是完美的技能表现,不论在地下城还是竞技场都神一般的控制和速度! (其他略,这个只是粗粗写写) 3.地下城:龙/巨人 这个就以目前来说,主流的还是水*龙骑,水*方舟

4.带狗粮:风*凤凰、觉醒风*小丑、火*龙等 看完以上那么多,相信你一定会头晕眼花,满脑子都在想,你大爷的那么多魔灵,我到底该如何选择! 那么下面我就来给你分析下:(仅推荐) 一般新手玩这游戏就直接开始,这游戏其实说到底最主要的就是狗粮! 什么都是要以狗粮为基础,那么带狗粮的那家伙一定要效率! 会考虑去弄初始号的那就参考下上面的第四条。 不弄初始号直接开玩的(我首先要给你点个赞!然后你会发现,我下面写的你完全可以 参考!) NO.2 剧情开始! 一般来说跟着剧情打,顺着打下来,通常会打到第三张图(你会觉得雪山上的那个大水鸟简直逆天!) 这时候,你可以考虑组合一套符文了!你的水仙女(猛攻+祝福 1 2星,不用强化),火地狱犬(猛攻+祝福 1 2星,不用强化),风流浪骑士(祝福祝福祝福不强化无所谓),光哥鲁达(祝福祝福祝福)而且你也差不多都到25级了。(应该可以过了) 因为目前游戏已经有7 8个月了,所以大神满地走,过不去的图,可以寻求好友的帮助。 。。。。。 直到你到了火山1图之后。。。 (忠告,你有好友可以过图,当然去把火山过了,拿到那张稀有的光暗卷轴,如果过不去,不要强求,这东西逃不掉,总归是你的!) 当你到了火山地图,你可以先看看你背包里的魔灵有哪些,你要做的是选择一只当你的“狗粮大队长”!(只是一个思路) *注释,狗粮大队长:可以一拖三刷图的家伙,这家伙要做到效率,且经验多!如果没有什么特别用处,5星max即可! NO.3 征服剧情之后你需要做的事。 后面就是刷符文和打竞技场啦,竞技场和符文,还有魔灵都是有非常大的组合关系的,这里先不详细推荐组合了。 如下是总结的大家常规问的几个问题: Q1狗粮队长哪家强?带谁先上6?

MDBUS入门讲解新手必看

一、基本术语 1、字word、字节byte、位bit 1 word = 2 byte; 1 byte = 8 bit. 2、校验码 校验码是由前面的数据通过某种算法得出的,用以检验该组数据的正确 性。代码作为数据在向计算机或其它设备进行输入时,容易产生输入错 误,为了减少这种输入错误,编码专家发明了各种校验检错方法,并依 据这些方法设置了校验码。 常用的校验有:累加和校验SUM、字节异或校验XOR、纵向冗余校验 LRC、循环冗余校验CRC…… 3、协议和接口 协议是一种规范和约定,是一种通讯的语言,规定了通信双方能够识别 并使用的消息结构和数据格式。 接口是一种设备的物理连接,指的是在物理层上的定义,像 RS422/RS232/RS485/以太网口等。协议和接口并不是一个概念,不能 混淆。 Modbus协议一般运行在RS485物理接口上,半双工的,是一种主从协议。 二、Modbus协议概述 Modbus协议是应用于电子控制器上的一种通用语言,实现控制器之间、控制器由网络和其它设备之间的通信,支持传统的RS232/RS422/RS485和最新发展

的以太网设备。它已经成为一种通用工业标准。有了它,不同厂商生产的控制设备可以连成工业网络,进行集中控制。此协议定义了一个控制器能认识使用的消息结构。 Modbus协议是一种请求——应答方式的协议。 三、两种传输方式 1、 ASCII模式 ASCII:美国标准信息交换代码 特点: ①消息中每个8bit都作为两个ASCII字符发送 ② 1个起始位、7个数据位、1个奇偶校验位和1个停止位(或者两个停止位) ③错误检测域是LRC检验 ④字符发送的时间间隔可达到1秒而不会产生错误 2、 RTU模式 RTU:远程终端单元 特点: ①消息中每个8bit字节包含两个4bit的十六进制字符,因此,在波特率相同的情况下,传输效率比ascii传输方式大 ② 1个起始位、8个数据位、1个奇偶校验位和1个停止位(或者两个停止位) ③错误检测域是CRC检验

FARO激光跟踪仪

FARO Laser Tracker 提高生产率的设计 https://www.wendangku.net/doc/361051838.html,/LaserTracker/cn

FARO激光跟踪仪简介 FARO激光跟踪仪是一款高精度的便携式坐标测量设备,能够让您通过快速、简单和精确地测量来实现制造产品、优化流程和提供解决方案的目的。 应对测量挑战 全世界的客户都信赖FARO激光跟踪仪,并利用它来应 对日常的测量挑战以及过去无法解决的复杂难题。 重新定义效率 FARO激光跟踪仪在设备校准、设备安装、部件检测、 工装建造与设置、制造与装配集成和逆向工程等应用 领域都缔造了突破性的效率。 增加产量 通过提高工作速度、缩短停工时间、消除昂贵的废料 以及获得精确、一致和值得报告的测量数据,许多公 司节省了数百万美元的费用。 提供优质产品 利用FARO激光跟踪仪,您可以制造出更具竞争力 的产品,加快实施产品改进计划并为当今的技术市 场提供高性能的产品。

实际应用 FARO激光跟踪仪在各种行业的许多应用中均可实现精确的测量,它提供了更佳的测量方法并使全新的制造方法成为可能。

校准 ? 比传统方法更准确、更省时 ? 重复性测量,合理的趋于失真 ? 通过实时测量来确定公差和验证设计 逆向工程 ? 获取高精度的数字化扫描数据 ? 不再需要硬件母版 工装建造 ? 全程精确测试(确保部件达到最高的装配标准) ? 验证工装的尺寸完整性和可重复性(确定或预先防范工装缺陷)零件检测 ? 将复杂的几何结构、曲面和特征位置与标称数据进行比较? 不需要移动工件到固定的检测工具中 ? 减少生产废料和不合格产品带来的损失 设备安装 ? 安放/调平床身 ? 防止机床在磨合期运行时造成的损坏 ? 降低设备上的零件磨损和撕裂 制造与装配集成 ? 实时获取关键的定位反馈 ? 设置移动部件的标称坐标 ? 在移动过程中动态地持续测量,以提供定位点的数据

草图大师基础教程

1、选择的时候,双击一个单独的面可以同时选中这个面和组成这个面的线。 2、双击物体上的一个面,可以选择该面的面和线三击物体上的一个面,可以选择该物体的所有面和线。 3、使用漫游命令和相机命令的时候,可以在右下角的输入框里面输入视线的高度。 4、使用动态缩放命令的时候,可以输入数字+deg(例:60deg)来调整相机视角。 5、使用动态缩放命令的时候,可以输入数字+mm(例:35mm)来调整相机焦距。 6、把物体做成组群或者组件,可以在右键菜单里面的沿轴镜相里面选择镜相方式。 7、选择物体,用比例缩放命令,选择缩放方向以后输入-1,可以镜相物体。 8、利用推拉命令一次,下次运用推拉命令时双击可重复上次的尺寸。 9、选择物体时按住ctrl可以增加选择,按住shift可以加减选择,同时按住ctrl和shift为减选择。 10、shift+鼠标中键为pan功能。 11、当锁定一个方向时(如平行,极轴等)按住shift可保持这个锁定。 12、选择状态下单击物体是选线或面双击是线和面而三击可以选体选择物体后按住CT RL 用移动复制命令可以直接复制物体而如果该物体已经做成组的话复制出来的物体依然在同一组里使用橡皮檫只能删除线而不能删除面所以如果要删除一个面上杂乱的线用橡皮檫要比框选物体后用DEL命令方便。 13、滚轮+左键全按是pan哦,注意先按滚轮,在按左键。 14、在复制移动(按CTRL复制)后输入x/ 的数值时,如输入5/则两物体之间出现4个物体,如输入4/则两物体之间出现3个物体,阵列也一样! 15、在导出cad时有一个选项(options,在save/cancel键下方),进入其中并选择边线(edges)和面(faces),导出后就线和面都有了。 16、查看--显示隐藏组件,快捷键是shift+a。crtl+A全选,同时按住Shift和ctrl点击不想隐藏的物体,再按隐藏的快捷键就可以了。 17、SU-技巧-空间分割, 用画直线的工具在一表面停留(不要点击鼠标),按住SHIF T键,移动鼠标,会有一条平行于此表面的辅助线(虚线)出现,用来画空间分割是一个很好的方法。 18、su的捕捉就好象cad里面的极轴,就是比如当你移动一个物体的时候,大致的移动方向接近某个轴方向的时候,会自动捕捉,分别显示红绿蓝三色辅助线,当然画线等等操作的时候也是同样的。 19、快捷键在窗口-系统属性-快捷键里面可以设置 20、在确定方向以后,可以点住SHIFT键来锁定方向 21、缩放视图的时候按住shift可变为广角镜头。 22、在一个新的面上双击可以重复上次拉伸的尺寸。 23、用右键点取面可以让视图或者坐标轴对齐到这个面。

吉他初学者必看新手入门

第一课:吉他结构、种类。如何选购一把好琴及吉他的调养 令狐采学 从演奏气概来说,吉他可以分为古典吉他、民谣吉他、弗拉门哥吉他、爵士吉他、夏威夷吉他及电吉他几个年夜类。民谣吉他可细分为Acoustic guitar和Cutting down(缺角琴),前者适合演奏和弦,后者适合演奏高把位Solo。民谣吉他琴颈比较细,上指扳宽42mm,从弦枕到琴身共14个品格,琴箱上有一个月牙形的护板,使用钢丝弦演奏。 吉他的各部分: 高档琴的选用的木料都要置于通风阴凉处自然干燥数十年乃之上百年,价格昂贵。对年夜多快乐喜爱者来说,也要选购1000元左右的标准民谣吉他。如何挑选一把高品质的吉他

1.音准是核心问题。按吉他的定音标准调校好各弦后弹出一弦第12 品的泛音,如果它与该弦第12品的音高相同则为合格。如此依次检查六根弦。 2.其次检查手感。手感不良会使你自愿采纳不当的按弦办法,从而 极年夜地阻碍左手技术的提高。当吉他调到标准音高时,在第14品格处,弦与指板的距离应在4毫米左右。太高,按弦会感觉吃力,反之会造成打品的现象。好的手感应该是在不打品和呈现任何杂音的情况下,左手手指可以轻松地按下任意一个音,年夜横按也不感到吃力。 3.检查音质。弹奏每根弦第五品泛音,共鸣差的吉他往往发不出明 亮的泛音。然后在琴上做各种力度的拨弦,音量应有年夜幅度的变更。同时,性能较好的吉他各弦音量平衡,发音灵敏,高音明亮纯洁,高音深沉厚实,高把位的音量也不会衰减。 4.其他部位的检查。看看弦轴的齿轮是否损坏,旋转是否顺畅,面 板,背侧板是否有开裂现象,油漆是否光亮,琴的色泽是否协调。 最重要的是品丝一定要光滑,指板边不克不及划手。 一把好吉他的调养也很重要,此谓之"工欲善其事,必先利其器"。 建议年夜家: 1.中,高档吉他要买个琴套,高档的吉他要买个琴盒,这样便于吉 他的寄存。

FARO测量软件验证报告

FARO (Shanghai) Co.,Ltd FARO Edge便携式扫描仪 测量软件验证报告 编制:日期:年月日 审核:日期:年月日 批准:日期:年月日 发布日期: 年月日生效日期: 年月日

一、软件版本验证 序号设备名称FARO Edge便携式扫描仪 1 软件名称FARO CAM 2 Measure 10测量软件 2 验证前软件版本10.3.0.192(64位) 3 验证后软件版本10.3.0.192(64位) 4 版本验证周期2年 5 上次验证时间/ 6 本次验证时间2017-06-15 7 下次验证时间2019-06-15 软件功能变更 序号功能变更说明 1 无变更 二、软件功能验证 序号设备名称FARO Edge便携式扫描仪 1 软件名称FARO CAM 2 Measure 10测量软件 2 软件版本10.3.0.192(64位) 3 功能验证周期2年 4 上次验证时间/ 5 本次验证时间2017-06-15 6 下次验证时间2019-06-15 软件功能验证 序号软件功能模块验证方法验证结果 1 导入/导出功能将准备好的CAD数模导入软件内打开,查看打开 情况是否正常;进行零部件测量,将测量结果导 出至CAD。 正常 2 测量功能将FARO测量臂与工作站进行连接,开始直接测量 模式,分别进行面、线、圆、圆锥、圆柱等特征 测量,并可构造长度、角度等。 正常 3 对齐功能分别利用面、线、圆或者特征孔迭代、矢量点对 齐等方式进行坐标系对齐 正常 4 报告功能进行零部件的测量,将所需的特征选中,出具报 告,并导出至通用格式(PDF/EXCEL等) 正常 第 2 页共2 页

草图大师入门操作

SketchUp是一套直接面向设计方案创作过程而不只是面向渲染成品或施工图纸的设计工具,其创作过程不仅能够充分表达设计师的思想而且完全满足与客户即时交流的需要,与设计师用手工绘制构思草图的过程很相似,同时其成品导入其它着色、后期、渲染软件可以继续形成照片级的商业效果图。是目前市面上为数不多的直接面向设计过程的设计工具,它使得设计师可以直接在电脑上进行十分直观的构思,随着构思的不断清晰,细节不断增加,最终形成的模型可以直接交给其它具备高级渲染能力的软件进行最终渲染。这样,设计师可以最大限度地减少机械重复劳动和控制设计成果的准确性。 Sketchup快捷键: 编辑/撤销Ctrl+z 编辑/放弃选择Ctrl+t 编辑/辅助线/删除Alt+E 编辑/辅助线/显示Shift+Q 编辑/辅助线/隐藏Q 编辑/复制Ctrl+C 编辑/剪切Ctrl+X 编辑/全选Ctrl+A 编辑/群组G 编辑/删除Delete 编辑/显示/全部Shift+A 编辑/显示/上一次Shift+L 编辑/显示/选择物体Shift+H 编辑/隐藏H 编辑/粘贴Ctrl+V 编辑/制作组建Alt+G 编辑/重复Ctrl+Y 编辑/将面翻转Alt+V 编辑/炸开/解除群组Shift+G 查看/工具栏/标准Ctrl+1 查看/工具栏/绘图Ctrl+2 查看/工具栏/视图Ctrl+3 查看/工具栏/图层Shift+W 查看/工具栏/相机Ctrl+4 查看/显示剖面Alt+,查看/显示剖切Alt+.

查看/虚显隐藏物体Alt+H 查看/页面/创建Alt+A 查看/页面/更新Alt+U 查看/页面/幻灯演示Alt+Space 查看/页面/删除Alt+D 查看/页面/上一页pageup 查看/页面/下一页pagedown 查看/页面/演示设置Alt+:查看/坐标轴Alt+Q 查看/X光模式T 查看/阴影Alt+S 窗口/材质浏览器Shift+X 窗口/场景信息Shift+F1 窗口/图层Shift+E 窗口/系统属性Shift+P 窗口/页面设置Alt+L 窗口/阴影设置Shift+S 窗口/组建Shift+C 工具/材质X 工具/测量/辅助线Alt+M 工具/尺寸标注D 工具/量角器/辅助线Alt+P 工具/路径跟随Alt+F 工具/偏移O 工具/剖面Alt+/ 工具/删除E 工具/设置坐标轴Y 工具/缩放S 工具/推拉U 工具/文字标注Alt+T 工具/旋转Alt+R 工具/选择Space 工具/移动M 绘制/多边形P 绘制/矩形R 绘制/徒手画F 绘制/圆弧A 绘制/圆形C

测量新手入门必看基础

以下是本人刚刚步入社会工作的前两个月学习总结,相信对于测量新手绝对有帮助,下载吧,完全是辛苦分!当然记得给个好的评价! (广西钦州、桂林的同学们也许你们认识我,更要下载) 地籍测量的学习包括学习地籍测量的理论知识、全站仪的操作、内业数据处理等。 学习地籍测量理论知识。通过阅读《地籍测绘规范》、《地籍图图式》及相关资料,对地籍测量的基本任务、操作流程、工作规范以便对作为测绘技术员的职责能有比较清晰的了解与认识。 学习仪器的使用,数据处理。了解了仪器的原理,学习仪器的摆放、整平、定向、瞄点、棱镜摆放、跑杆等基本操作。此外,还要学习了宗地草图的绘制及测量数据的基本处理,对地籍测量工作的整个过程要有更深了解。要认识到全站仪作为精密仪器,在作业过程中每个环节都需要认真仔细按照规范操作,这样数据精度才能达标;测量仪器价格昂贵,也必须要求规范操作、存放;地籍测量成果是终身负责制,工作如果出现失误将造成严重后果,所以更需要责任感以及提高业务能力作为保障。 仪器摆放:1、松开三脚架螺旋,将三脚架顶部拉伸至胸部位置(便于操作),拧紧螺旋。2、以控制点为中心,先将脚架一个脚固定位置,再将其他两只脚成合适角度打开,注意脚架顶面应尽量平行于水平面。3、安放仪器。一只手紧握仪器顶部提手,一只手托住仪器基座底板,将仪器放置于脚架顶面之上,注意在拧紧连接螺旋之前,为保证仪器安全,手不能仪器提手。 仪器的整平:仪器整平分为粗平与精平。1、安放好仪器之后,仪器开机,打开激光,移动脚架其中两只脚使其对准控制点中心(使仪器与控制点在同一

竖直方向)。2、观测圆形气泡位置,确定要调整脚架哪两个脚的高度,先调整一只脚,使气泡与另一只脚成直线,然后调整另一只脚,使气泡位于圆圈范围之内。3、精平。同时向内或向外调节两个整平螺旋,使管状气泡位于刻度中间,将仪器旋转90°,再调节另一整平螺旋使气泡居于刻度中间,再次观察激光是否对准控制点中心,如有偏移,则需适度松开脚架与仪器之间的连接螺旋,慢慢推挪仪器基座,使激光对准控制点中心,重复精平步骤,使仪器完全水平。 定向:仪器整平之后,新建项目后建站,输入前后视坐标,棱镜高设置为0.06m,竖直摆放棱镜于后视点之上,将望远镜及十字丝调至最清晰状态,严格照准底部后将十字丝上移至棱镜中心定向、测量,核对坐标(为了节省时间,我们输入坐标的时候进行拍照,以便核对),如果误差在2cm以下即可完成定向(我们要求误差尽量在1cm以下,超过1cm则重新建站、定向)。 跑杆:1、跑杆者需要根据草图选择测点顺序,观察杆高、记录点号,并及时通知仪器操作者保存记录。2、根据点的位置放杆。墙角放杆:杆底放置于墙角点,棱镜平行于墙线边缘。阳台放杆:调整杆高至合适高度,将棱镜尖部放置于阳台角之下。某些无法放杆的点则需通知仪器操作者使用免棱镜测量。 瞄点:为提高工作效率,要求在棱镜到位10秒中之内完成瞄点测量。先通过粗瞄准器瞄准棱镜位置,再通过望远镜将十字丝移至棱镜中(此处不要求完全望远镜调至最清晰状态以及照准棱镜中心)测量,如果在定向之后对第一个点进行测量,则需要将棱镜高修改为杆高。某些点由于位置比较高等原因,则需要免棱镜测量,此时需将望远镜及十字丝调至最清晰状态,先照准待测点旁墙壁按测量2键测量,然后通过水平微动螺旋将十字丝中心移至待测点位置,及时保存。 此外,还应学习控制点加密。在学习了仪器基本操作及理论知识的基础上,

《方舟:生存进化》单人发展与建家生存心得

《方舟:生存进化》单人发展与建家生存心得 《方舟生存进化》不少玩家可能没有基友也可能就喜欢一个人玩,但是在这么复杂的游戏世界中处处充满了危险,小编这里为大家分享《方舟生存进化》单人生存心得,帮你更好的在游戏中立足。 从入手游戏开始便是独狼玩家至今,已有651小时,一直是一个人,也算是老司机,分享下独狼至尊心得,大大小小的仗都打过,各种独家坑人心得分享。 1:初期发展,升级做弩,带3到10把麻醉箭以及30左右黑果,找有人在线的家附近蹲点看对方有没有铁甲,有铁甲撤退,没铁甲等他进屋子,跑到门口等出门,刚出门就射箭,没铁甲百分之90必晕,没晕跑,我角色基本全加的移动速度,晕了之后就可以进去拿各种物资,这样发展 很快,一天起铁房子,出枪。最后,他还不知道是谁阴了他。。 以上步骤反复,一天高富帅,接下来后续事宜。 2:建家选址,必须相当相当隐秘,就那种起到加特林都不会有人去的地方,晚上上线发几个坐标,大家参考一下,直接起铁家,然后就该训鹰了,找个等级低的,很快就可以训好,野外寻找落单无铁甲玩家,偷袭拿物资,有铁甲的应对方法后期放出,这样虽然是一个人,但是发展速度不输大部落,甚至物资多了,去抄家可以带上水泥,我都是带100个水泥,然后偷袭他们家,现场融合铁地基之类的。 有些人进门不关门,因为他很快就会出来,不要犹豫,冲进去,被发现了就打招呼,装新手,问问题,随便问什么,他就不会打你,没发现就地隐藏,箱子后面爬下什么的,等他出门,然后就是你的天下了,拿各种能拿的,然后坐等开门,门一他刚进门就冲出去,找事先藏好的鹰 走人,这招屡试不爽,而且最重要他不会看到你的名字。 3:野外干架不能硬上,这就是我加移动速度的原因,曾经我1人野外1v5,打死对面1个人,4个鹰,打一枪换一个地方,必须隐蔽,这个自己研究,出门只带水跟食物枪子弹,我一般出门都是带弩跟果子,赤裸,大部分都是回家大丰收,记得最叼的一次是拿了1个保险箱跟rpg,2发rpg 子弹。 4:有很多萌新小盆友不会卡龙, 不会卡棘背龙,分享个比较简单的方法。 做一个小石头恐龙门框,放在龙附近,然后去引龙,你只需要从门下跑过,棘背龙就卡到门上了,慢慢射吧。 如果打晕杀人的话,可以拉到野怪附近,也可以喂腐肉,。 5:建家防抄构造,铁家,保险柜放到中间,起墙包住,外围再来一圈地基,材料多起2层 阁楼,不多就一层,但是一定要在楼顶额外多一层墙的房顶,床建到保险柜的房间。 之后在外围来一圈石头地基,起墙包住铁家,在起木头地基,包石头,门口插棋子,汉语一句pve休闲玩家,纯休闲,英文在来一句,一般不会有人发现你家,就算发现了也不会抄一个木

新手必看的Word入门教程

新手必看的Word入门教程 (本文由一览旗下液压英才网资深顾问袁工分享) 新建文件夹: Word是一个文字处理软件,属于微软的Office系列,国产的是金山WPS, 文字处理主要包括,文字录入、排版、存储、打印等等各个方面,我们先来做好准备工作; 1、打开我的文档 1)在桌面上双击“我的文档”图标,进入文件夹; 2)在空白处单击鼠标右键,在出来的菜单中选择“新建”命令;

3)在出来的下一级菜单中,选择上边的“文件夹”命令; 4)这时在工作区出来一个新的文件夹,名称那儿是蓝色的,按退格键删除里头的“新建文件夹”, 然后输入自己姓名的拼音,输好后再用鼠标点一下图标,这样一个自己名字的文件夹就建好了; 这儿也可以输汉字,点击输入法图标,选择一个汉字输入法,输入自己的名字就可以; 5)在图标上双击,进入文件夹看一下,由于是新建的文件夹,里头还是空的,后面我们会逐渐保存上自己的文件; 本节学习了新建文件夹的一般方法,如果你成功地完成了练习,请继续学习;输入文字或保存: 1、启动Word 1)单击屏幕左下角的“开始-所有程序-Microsoft Office-Microsoft Office Word 2003”,就可以启动Word,也可以在桌面上创建一个快捷方式;

2)Word窗口主要由菜单栏、工具栏、工作区组成,文字一般输到工作区中,有一个一闪一闪的竖线; 3)记住常用的菜单“文件”菜单、“视图”菜单和“格式”菜单,工具栏中是一些常用的菜单命令,用图片表示,使用很方便; 2、输入文字 1)在工作区中点一下鼠标,这样就会出现一条一闪一闪的光标插入点,文字就输在它这儿; 2)点击输入法图标,选择汉语输入法,这儿选择的是紫光输入法; 3)输入自己的姓名,然后按回车到下一行,输入班级、学校; 注意观察光标插入点的位置变化,它会随着文字逐渐后退; 3、保存文件 1)点击菜单“文件-保存”命令,第一次保存,出来一个“另存为”对话框;

《方舟:生存进化》焦土DLC全物品及新生物代码汇总

《方舟:生存进化》焦土DLC全物品及新生物代码汇总 《方舟:生存进化》焦土DLC中增加了不少物品及新生物,下面带来了玩家“game仔射射”分 享的《方舟:生存进化》焦土DLC全物品及新生物代码汇总,一起了解下吧。 焦土DLC代码: 火箭 cheat giveitem "Blueprint'/Game/PrimalEarth/CoreBlueprints/Weapons/PrimalItemAmmo_ArrowFlame.PrimalItemAmmo_ArrowFlame'" 100 1 0 电锯 cheat giveitem "Blueprint'/Game/ScorchedEarth/WeaponChainsaw/PrimalItem_ChainSaw.PrimalItem_ChainSaw'" 10 100 0 喷火器 cheat giveitem "Blueprint'/Game/ScorchedEarth/WeaponFlamethrower/PrimalItem_WeapFlamethrower.PrimalItem_WeapFlamethrower'" 10 100 0 回旋镖 cheat giveitem "Blueprint'/Game/ScorchedEarth/WeaponBoomerang/PrimalItem_WeaponBoomerang.PrimalItem_WeaponBoomerang'" 1 100 0 手榴弹? cheat giveitem "Blueprint'/Game/ScorchedEarth/WeaponClusterGrenade/PrimalItem_WeaponClusterGrenade.PrimalItem_WeaponClusterGrenade'" 50 1 0 喷火器弹药 cheat giveitem "Blueprint'/Game/ScorchedEarth/WeaponFlamethrower/PrimalItemAmmo_Flamethrower.PrimalItemAmmo_Flamethrower'" 50 1 0 啊哈新的火箭筒弹药有跟踪效果哦 cheat giveitem "Blueprint'/Game/ScorchedEarth/WeaponHomingMissile/PrimalItemAmmo_RocketHomingMissile.PrimalItemAmmo_RocketHomingMissile'" 50 1 0 龙奶 cheat giveitem "Blueprint'/Game/PrimalEarth/CoreBlueprints/Items/Consumables/BaseBPS/PrimalItemConsumable_WyvernMilk.PrimalItemConsumable_WyvernMilk'" 10 1 0 恐龙代码:

Sketchup快速完全入门手册

Sketchup 快速完全入门手册焦志鹏1024 https://www.wendangku.net/doc/361051838.html,

写在前面: 子曾经曰过:“工欲善其事,必先利其器”,两年前,我第一次接触su,中午收到别人从qq 上传来的su5.0,当时的感觉就是“这么小的软件”,当天下午了解了su 的大部分功能和基本用法,这时的想法是“果然是个小软件”…… 当时认为已经完全了解了su 的我在两年后的今天,却仍然不敢对任何人说:“学su?不会你找我!” 这就是su……

目录 第一篇初识su 第二篇su 全局概述 第三篇su 功能详解 第四篇su 的材质和组件第五篇su 使用技巧

第一篇初识su 这一篇可以算作一个前言,讨论一些似乎看起来和su 没有关系,但实际上密不可分的问题。 一、关于su 的适用范围 以我所接触的人们来说,使用su 的人大概可以分为四类“建筑设计”“室内设计”“景观设计”“工业设计”,总的来说,每一类人里都有高手,也许每个人都在使用中有所感受,这里我只说说我的一家之言。 建筑设计 据我所知,su 被引入cad 行业,最早就是在“建筑设计”中被使用的,以我的观点看,su 作为建筑设计的模型制作工具,可以说是比较合适的。 首先说说它的优点:第一,它的操作界面非常友好,相对于“三视图+相机视图”的模式,su 在人机交互界面上有着让人难以抗拒的友善感,在三维操作方面,也显得很灵活;第二,它的工具功能简单,操作方便,我一直认为,软件工具不怕多,就怕复杂,一个工具解决一个基本问题,多个工具组合解决复杂问题,这一点su 还是很不错的,在su 的工具栏里,只要单击就可以使用这个工具,单击不区分左右键,没有二级工具集,不弹出预设值对话框,一切都是顺序发生的,这一点对新手非常重要;第三,它的模型对简单体块的修改、查看非常方便,对建筑方案的前期推敲非常有利;第四,它的模型是单一文件,包含所有的贴图、块等元素,交换方便。 其次我们也要面对它的缺点:su 最大的问题可以归结为两大类:程序内核本身先天的孱弱和与生俱来的功能的缺失,有这些问题本身并不可怕,真正让你感到不幸的是我要告诉你在可以预见的将来,我们对这两点无计可施,具体的问题会在后面陆续提及。说到具体的问题,有如下几点:第一,su 的性能和执行效率非常低下,我可以毫不客气的说它可以荣登我所用过的所有软件中“性能最差”的宝座;这是由它的内核决定的,这里我们不谈这个,第二,su 在设计上也存在很严重的问题,首先,su 的精度不够,su 的精度在长度上只能精确到小数点后1 位,既0.1,当你绘制一条0.11 单位长度的线时,你会发现在模型信息中这条直线的长度前添加了约等于符号“~”,也许你会说没有人会画这样的线,但是在有曲线相交的情况下,这种线是有可能存在的,su 在面积上只能精确到0.01,也就是说面积小于0.01 单位的面永远无法封面;第三su 设计上另一个缺失就是在su 中没有贝斯曲线,su 处理曲线只能将曲线转变为多段直线处理,换句话说su 不能处理曲线方程,比如在cad 里用spl 命令绘制的曲线,就是贝斯曲线,这种曲线导入su 后,将被转化为多段线,这样一些原本在cad 中交叉的线在su 导入的过程中就会断开,导致不能封面(其实cad 在处理spl 时也比较头疼,比如你可以试试,cad 里spl 无法延伸),而事实是更残酷的,实际上su 在处理任何曲线都是以多段线的形式处理的,因此cad 中的任何曲线,包括圆、圆弧、多段线中的弧都将无一幸免;第四,当你知道了su 连曲线都处理的如此狼狈,那么su 弱的可怜的曲面建模能力也就不足为奇了,很多人都在埋怨su 的曲面能力,但很少有人知道su 曲面能力弱是因为su 无法处理贝斯曲线,更没有人知道不能处理贝斯曲线实际上也是其精度不够的必然结果。 说了这么多,总的看起来好像su 的缺点比优点要多,但是在实际应用中,我们只有在真正关注绝对精准的尺寸的施工图中才会遇到我上述各种缺点,因此,虽然它有很多不足,但仍然是当今非常热门的建模软件。 室内设计 用su 做室内设计的朋友们,可能会比用su 做建筑设计的朋友们更能感受我刚才说过的那些缺点,这是因为室内设计比建筑设计更关注细节,更容易遇到上述的那些不足,由于su 的性能不高,在场景复杂的情况下难以操作,由于su 的精度不够,一些细节的部分无法表达,由于曲线是多段线的形式,一些平滑曲面的效果必然会消耗大量的系统资源,曲面建模能力不足,也使很多设计中个性的亮点难以表现。总的来说,su 做室内设计,除了上手方

相关文档
相关文档 最新文档