文档库 最新最全的文档下载
当前位置:文档库 › 数字电路复习题(含答案)

数字电路复习题(含答案)

数字电路复习题(含答案)
数字电路复习题(含答案)

一、填空题:

1.在计算机内部,只处理二进制数;二制数的数码为 1 、 0两个;写出从(000)2

依次加1的所有3位二进制数: 000、001、010、011、100、101、110、111 。

2.13=(1101)

2;(5A)

16

=(1011010)

2

;()

2

=(8C)

16

完成二进制加法(1011)

2+1=(1100)

2

3.写出下列公式:= 1 ;= B ;= A+B ;

=B

A 。

.

4.含用触发器的数字电路属于时序逻辑电路(组合逻辑电路、时序逻辑电路)。

TTL、CMOS电路中,工作电压为5V的是 TTL ;要特别注意防静电的是 CMOS 。5.要对256个存贮单元进行编址,则所需的地址线是 8 条。

6.输出端一定连接上拉电阻的是 OC 门;三态门的输出状态有 1 、 0 、高阻态三种状态。

7.施密特触发器有 2 个稳定状态.,多谐振荡器有 0 个稳定状态。8.下图是由触发器构成的时序逻辑电路。试问此电路的功能是移位寄存器,

是同步时序电路(填同步还是异步),当R

D =1时,Q

Q

1

Q

2

Q

3

= 0000 ,

当R

D =0,D

I

=1,当第二个CP脉冲到来后,Q

Q

1

Q

2

Q

3

= 0100 。

?

1D

'

FF0

1D

C1

FF0

1D

C1

FF0

&

C1

FF0

R D R D R D R D

Q3

%

Q1

Q0

D I

R D

CP

(图一)

1.和二进制数等值的十六进制数是( B )

A .16

B . 16

C . 16

D . 16

2.和逻辑式B A C B AC ++相等的式子是( A )

A .AC+B

B . B

C C .B

D .BC A +

3.32位输入的二进制编码器,其输出端有( D )位。

A. 256

B. 128

C. 4

D. 5 4.n 位触发器构成的扭环形计数器,其无关状态数为个( B )

A .2n -n

B .2n -2n

C .2n

D .2n -1

5.4个边沿JK 触发器,可以存储( A )位二进制数

A . 4

B .8

C .16

6.三极管作为开关时工作区域是( D )

A .饱和区+放大区

B .击穿区+截止区

*

C .放大区+击穿区

D .饱和区+截止区

7.下列各种电路结构的触发器中哪种能构成移位寄存器( C ) A .基本RS 触发器

B .同步RS 触发器

C .主从结构触发器

8.施密特触发器常用于对脉冲波形的( C )

A .定时

B .计数

C .整形

1.八进制数 ) 8 的等值二进制数为 ;十进制数 98 的 8421BCD 码为 。

2.试写出下列图中各门电路的输出分别是什么状态(高电平、低电平)(其中(A )(B )为TTL 门电路,而(C )为CMOS 门电路)

(A ) (B ) (C )

Y 1= 02 Y 2= 1 Y 3= 1 3.一个 JK 触发器有 2 个稳态,它可存储 1 位二进制数。

4. 单稳态触发器 有一个稳定状态和一个暂稳状态。 施密特触发器 有两个稳定状态、有两个不同的触发电平,具有回差特性。 多谐振荡器 没有稳定状态,只有两个暂稳态。以上三种电路均可由 555定时器 外接少量阻容元件构成。

5.常用逻辑门电路的真值表如右图所示,则 F 1 、F 2 、F 3 分别属于何种常用逻辑门。F 1 同或 ,F 2 与非门 ,F 3 或非 。

'

6.OC 门的输出端可并联使用,实现__线与____功能;

三态门的输出状态有______0________、

1 、

高阻 三种状态。

7.时序逻辑电路的输出不仅和____输入 ___有关,而且还与___电路原来状态____有关。 1.(.101)2= 10= .0 8421BCD

2.已知N 的补码是1.,则N 的原码是 ,反码是 1. 。

3.假设Z i 为电路的输出,x i 为电路的输入,y i 为电路的状态,Z i =f i (x 1…x n ,y 1…y n ),

i=1,2…r ,Z i 描述的是 组合逻辑 电路; Zi=fi(x 1…x n ),i=1,2…r ,Z i 描述

A B %

F 1

F 2 F 3

0 0 1 1 0

-

0 1 0 1 1

1 0 0 } 1

1

1 1 1 0 1

是 时序逻辑 电路。

.

4.5位扭环形计数器的无效状态为 22 。

5.如用0V 表示逻辑1,-10V 表示逻辑0,这属于 正 逻辑。 6.不会出现的变量取值所对应的 最小项 叫约束项。

7.对160个符号进行二进制编码,则至少需要 8 位二进制数。 8.逻辑函数 F=BC B A +?的最小项之和表达式为

ABC BC A C B A C B A ++??+??。

9.三态门除了输出高电平和低电平之外,还有第三种输出状态,即 高阻态 状态。

10.RS 触发器的特性方程为Q R S Q n +=+*1 、_SR=0__。

1.二进制码表示的十进制数为 218 ,十六进制为 DA 。

2.D 触发器的特征方程为D Q n =+1,JK 触发器的特征方程为Q K Q J Q n +=+1。 3.在数字电路中三极管工作在 0 和 1 状态,所以数字电路只有 两个 状态。

4.A=(-59)10,A 的原码是 1111011 ,补码是 1000101 。

5.使用与非门时多余的输入端应接 高 电平,或非门多余的输入端应接 低 电平。

6.如果对72个符号进行二进制编码,则至少要 7 位二进制代码。 7.函数)(D C A AB A Y +++=,其反函数为)(D C A B A A ++,对偶式为

)(CD A B A A ++。

8.逻辑符号如图一所示,当输入A =""0,输入B 为方波时,则输出F 应为 方波 。

9.电路如图二所示,则输出F 的表达式为 Y=ABC 。

10.逻辑函数的表示方法 真值表 、 逻辑表达式 、 逻辑图 、 卡诺图 。 11.欲构成能记最大十进制数为999的计数器,至少需要 三 片十进制加法计

数器,

或 三 片4位二进制加法计数器芯片。 12.时序逻辑电路中一定是含 触发器 。

&

13.五位扭环开计数器的无效状态有 22 。

14.若一个逻辑函数由三个变量组成,则最小项共有 8 。

1.2)11010101(=( D5 16)=( 213 10)

2)00101(-=( 100101 原码)=( 111011 补码) 10)14(=( 01000111 )

余3=( 00010100 )8421BCD 码

2.对于JK 触发器的两个输入端,当输入信号相反时构成 D 触发器,当输入信号相同时构成 T 触发器。

3.组合逻辑电路的冒险现象是由 竞争 引起,表现为 尖峰 脉冲。

4.常见的脉冲产生电路有 多谐振荡器 ,常见的脉冲整形电路

有 施密特触发器 。

5.触发器有 2 个稳态,存储8位二进制信息要 8 个触发器。

6.米利型时序电路输出信号与 输入 和 触发器状态 有关,没有输入变量的时序电路又称 穆尔 型电路。

"0"

≥1

A

F

B

图一

图二

7.如果某计数器中的触发器不是同时翻转,这种计数器称为异步计数器,n进制计数器中的n表示计数器的计数状态个数,最大计数值是n-1 。

二、选择题: (选择一个正确答案填入括号内,每题2分,共20分 )

1.在四变量卡诺图中,逻辑上不相邻的一组最小项为:( D )

A.m

1与m

3

B.m

4

与m

6

C.m

5与m

13

D.m

2

与m

8

2.L=AB+C 的对偶式为:( B )

A . A+BC

B . (A+B)

C C . A+B+C D. ABC 3.属于组合逻辑电路的部件是( A )。

A.编码器B.寄存器C.触发器D.计数器

4.T触发器中,当T=1时,触发器实现( C )功能。

^

A.置1 B.置0 C.计数D.保持5.指出下列电路中能够把串行数据变成并行数据的电路应该是( C )。

A.JK触发器B.3/8线译码器

C.移位寄存器D.十进制计数器

6.某电路的输入波形 u

I 和输出波形 u

O

下图所示,则该电路为( C )。

A.施密特触发器B.反相器

C.单稳态触发器D.JK触发器

&

7. 三极管作为开关时工作区域是( D )

A.饱和区+放大区B.击穿区+截止区

C.放大区+击穿区D.饱和区+截止区

8.已知逻辑函数与其相等的函数为( D )。

A. B. C. D.

9.一个数据选择器的地址输入端有3个时,最多可以有( C )个数据信号输出。

A.4 B.6 C.8 D.16

10.用触发器设计一个24进制的计数器,至少需要( D )个触发器。

-

A.3 B.4 C.6 D.5

1.下列电路中不属于时序电路的是 C 。

A.同步计数器B.异步计数器C.组合逻辑电路D.数据寄存器2.CT74LS290计数器的计数工作方式有 C 种。

A.1 B.2 C.3 D.4

3.3线—8线译码器有 A 。

A.3条输入线,8条输出线B.8条输入线,3条输出线

C.2条输入线,8条输出线D.3条输入线,4条输出线

4.一个五位的二进制加法计数器,初始状态为00000,问经过201个输入脉冲

后,此计数器的状态为 D 。

A.00111 B.00101 C.01000 D.01001

5.若将一TTL异或门输入端A、B当作反相器使用,则A、B端的连接方式为 A 。

A.A或B中有一个接1 B.A或B中有一个接0

C.A和B并联使用 D.不能实现

6.下列各种电路结构的触发器中哪种能构成移位寄存器( C )

A.基本RS触发器B.同步RS触

C.主从结构触发器D.SR锁存器

7.逻辑函数F(A,B,C) = AB+B C+AC'的最小项标准式为( D )。

A.F(A,B,C)=∑m(0,2,4) B.F(A,B,C)=∑m(1,5,6,7)

C.F(A,B,C)=∑m (0,2,3,4) D.F(A,B,C)=∑m(3,4,6,7)

8.设计一个把十进制转换成二进制的编码器,则输入端数M和输出端数N分别为( C )

A.M=N=10 B.M=10,N=2 C.M=10,N=4 D.M=10,N=3 9.数字电路中的工作信号为( B )。

A.直流信号B.脉冲信号

!

C.随时间连续变化的电信号

10. L=AB+C 的对偶式为:( A )

A.A+BC B.(A+B)C C. A+B+C D.ABC

1.数字电路中的工作信号为( B )。

A.随时间连续变化的电信号B.脉冲信号C.直流信号

2.逻辑符号如图一所示,当输入A ""0,输入B为方波时,则输出F应为( C )。

A .“1”

B .“0”

C .方波

3.逻辑图和输入A ,B 的波形如图二所示,分析在t 1时刻输出F 为( A )。 A .“1”

B .“0”

C .任意

4.图三逻辑电路为( A )。 A .与非门 B .与门 C .或门

D .或非门

B

C

B C

5.逻辑电路如图四所示,输入A =0,B =1,C =1,则输出F 1和F 2分别为( D )。

A .0,021==F F

B .1,021==F F

C .1,121==F F

D .0,121==F F

6.F =AB+BC+CA 的“与非”逻辑式为( B )。 A .F =A B +B C +C A

B .F =AB BCCA

C .F =AB BC CA ++

7.逻辑电路如图五所示,其逻辑功能相当于一个( C )。 A .“与”非门

B .“导或”门

C .“与或非”门

t 1

=1

A

F

B

A

B

"0"

≥1

A

F

B

图一

图三

?

&

A

B &

≥ 1

1

F

C

D

8.与二进制数相应的十进制数为( C )。 A .110

B .)210

C .170

9.时序逻辑电路中一定是含( A ) A .触发器 B .组合逻辑电路 C .移位寄存器

D .译码器

10.用n 个触发器构成计数器,可得到最大计数长度是( D ) A .n B .2n C .2n

D .2n -1

<

1.已知某电路的真值表如下表所示,则该电路的逻辑表达式为( C )。

A .C Y =

B .AB

C Y =

C .C AB Y +=

D .C C B Y +'=

2 C )。

A .3个

B .8个

C .1个

D .11个

3.JK 触发器要实现Q n+1=1时,J 、K 端的取值为( D )。 A .J=0,K=1

B .J=0,K=0

C .J=1,K=1

D .J=1,K=0

4.逻辑函数F=)(B A A ⊕⊕=( A )。

{

C.B A ⊕

D.)('⊕'B A

图五

5.五个D触发器构成环形计数器,其计数长度为(A)。

.10

6.同步时序电路和异步时序电路比较,其差异在于后者(B)。

A.没有触发器

B.没有统一的时钟脉冲控制

C.没有稳定状态

D.输出只与内部状态有关

7.十六路数据选择器的地址输入(选择控制)端有(C)个。

A.16 B.2 C.4 D.8

#

8.一位8421BCD码译码器的数据输入线与译码输出线的组合是(C)。

A.4∶6 B.1∶10 C.4∶10 D.2∶4

9.能实现脉冲延时的电路是(B)。

A.多谐振荡器B.单稳态触发器C.施密特触发器

10.有一个左移位寄存器,当预先置入1011后,其串行固定接0,在4个移位脉冲CP作用下,四位数据的移位过程是(A)。

A.1011—0110—1100—1000—0000 B.1011—0101—0010—0001—0000 #

三、将下列函数化简为最简与或表达式(本题 10分)

1. (代数法)

解:

BC

A D C A BC A D

C A BC BC A

D C A BC C B A F +=++=++=+++=)(1

2、 F 2 ( A,B,C,D )=∑m (0,1,2,4,5,9)+∑d (7,8,10,11,12,13)(卡诺图法)

1.用公式化简逻辑函数:

C B C A B A

D B BC D C A Y ?+++++?=

解:

B

C D A C D C A B C A C B D B BC D C A B

A C

B

C A B A

D B BC D C A Y ++?=+?=++?+++?=?+?+++++?=

2.用卡诺图化简逻辑函数:

D C B A C B A D C B A C AB D C B A Y +?+??+=),,,(,

且A ,B ,C ,D 不可能同时为0。

C A

D B Y +=

!

将下列函数化简成与或式(每题5分,共15分) 1.D C A CD B A Y ⊕++?+=1

解:

D

D D C A D C B A D C A CD B A D C A CD B A Y =⊕=⊕+?=⊕??+=⊕++?+=0)(1

2.)7,6,3,2,1,0(),,(2m C B A Y ∑= 解:B A Y +=2

3.)15,11,5,3,2()13,9,6,4,1,0(),,,(3d m D C B A Y ∑+∑= 解:D A D C Y +=3

将下列函数化简成与或式(每题5分,共15分) 1.C B C B C A C A Y +++=1(代数法) 解:C B C A B A Y ++=

2.)15,14,13,12,10,9,4,3,2,1,0(),,,(2m D C B A Y ∑= 解:D A D C B Y ++=2

3.用卡诺图把下逻辑函数化简成最简与或式。

D C B A D C B A D C A Y ++++=3给定约束条件为

=+++++ABCD D ABC D C AB D C AB CD B A D C B A

解:D C A D B A AD Y ++=3

%

1.用公式法化简函数:C B A C B B A C Y ??+?'+?'+'= 2.用卡诺图法将下列逻辑函数化简为最简与或式:

Y=∑m (0,1,2,3,6,8)+∑d(10,11,12,13,14,15)

解: 1.1='++'=?+'+?'+'=B B C B A B B A C Y 2.D B D C B A Y ''+'+''=

`

二、分析、简答题

1.用卡诺图化简成最简的与或式。

F (A 、B 、C 、D )=Σm (0,2,8,9,10,11,13,15)

AB

/

D B AD Y ?+=

2.用公式化简逻辑表达式。 1)

2)

解:1)B B C B AB =++

2)AB C C A BCD AB C BC C B C A BCD AB +=+++=++++ 3.试画出用反相器和集电极开路与非门实现逻辑函数 C B AB Y +=。 解:C B AB C B AB Y ?=+=(2分) 逻辑图略(2分)

4.图1、2中电路由TTL 门电路构成,图3由CMOS 门电路构成,试分别写出F1、F2、F3的表达式。

(

解:B A B A F +=⊕+=11 C F =2 C B AC F +=3

10 1 1

1 1

1.试分析图示时序逻辑电路,写出驱动方程,状态方程和输出方程,并画出状

态图。说出该电路的功能,设触发器的初态为000。

解:驱动方程(3分):

3

1321Q K Q Q J =?=

1

212Q K Q J ==

2

123Q K Q J ==

输出方程(1分):321Q Q Q Y ?=

131321

1

Q Q Q Q Q Q

n +??=+

状态方程(4分):21211

2

Q Q Q Q Q n +=+ 32321

3

Q Q Q Q Q

n +=+

状态图(5分):

六位循环码计数器

[

2.下图是用二个4选1数据选择器组成的逻辑电路,试写出输出Z 与输入M 、N 、P 、Q 之间的逻辑函数式(10分)。

~

000

001

011

111 ~

110

100

110

101

/ Q 3Q 2Q 1

解:Q N P NQ P MQ N P Q M N P NMQ P Q M N P Z +=+++=

1.用74161及适当的门电路构成十二进制计数器,要求利用同步置数端,并且置数为2(0010),画出状态图(按Q 3Q 2Q 1Q 0排列)及逻辑连线图。

EP

ET CP

C

R D

LD

0D 1

D 2

D 3

D 0

Q 1Q 2Q 3Q 74161

2.用3线/8线译码器74LS138和门电路设计1位二进制全减器电路,输入为被减数、减数和来自低位的借位,输出为二数之差和向高位的借位信号(15分)。

&

1

/

C

1

1

0010 Q 3Q 2Q 1Q 0

0011

&

0101

0110 0111

-

1001

1010

1011

1101

解:设A 为被减数,B 为减数,BO 为向高位的借位,BI 为来自低位的进位,S 为差(2分)

BIAB B A BI A BI B A BI S +++= BIAB B A BI B A BI B A BI BO +++=

(5分)设A 2=BI ,A 1=A ,A 0=B

则74217421m m m m m m m m S ???=+++=

75417541m m m m m m m m BO ???=+++=

#

逻辑图略

三、已知电路及输入波形如图4(a )(b )所示,其中FF1是D 锁存器,FF2是维持-阻塞D 触发器,根据CP 和D 的输入波形画出Q1和Q2的输出波形。设触发器的初始状态均为0。

~

四、分析图5所示电路,写出Z1、Z2的逻辑表达式,列出真值表,说明电路的逻辑功能。

解:

A B C Z

1!

Z

2

00000

00\

1

11

01011

)

1101

100¥

1

10100

1>

1

000

ABC

C

B

A

C

B

A

C

B

A

m

m

m

m

Z+

+

+

=

+

+

+

=

7

4

2

1

1

ABC

BC

A

C

B

A

C

B

A

m

m

m

m

Z+

+

+

=

+

+

+

=

7

3

2

1

2

真值

表: (3分)

这是一个全减器电路。

五、试分析图6各是多少进制的计数器,电路的分频比是多少。

{

1 1 1 1

>

1

EP ET D 0 D 1

}

D 3 C

LD

RD

Q 0 Q 1 Q 2 《

74LS161 0 CP

1

1

EP

D 0 D 1 D 2 D 3 C LD

$

RD

Q 0 Q 1 Q 2 Q 3

74LS161 Y

1

.

EP ET D 0 D 1 D 2 D 3 C

~

RD

Q 0 Q 1 Q 2 Q 3

74LS16

1

|

1

& C

数字电子技术基础试题及答案(一)

数字电子技术基础期末考试试卷 1.时序逻辑电路一般由和两分组成。 2.十进制数(56)10转换为二进制数为和十六进制数为。 3.串行进位加法器的缺点是,想速度高时应采用加法器。 4.多谐振荡器是一种波形电路,它没有稳态,只有两个。 5.用6个D 触发器设计一个计数器,则该计数器的最大模值M=。 123(1(24.T ,图1 5 时,6.D 触发器 的Q 和Q1的表达式,并画出其波形。 图 D=Q n+1=Q 1= 7.已知电路如图4所示,试写出: ①驱动方程; ②状态方程; ③输出方程; ④状态表;

⑤电路功能。图4 1.设计一个三变量偶检验逻辑电路。当三变量A 、B 、C 输入组合中的“1”的个数为偶数时F=1,否则F=0。选用8选1数选器或门电路实现该逻辑电路。 要求: (1)列出该电路F(A,B,C)的真值表和表达式; (2ABCF 2求: (1(21.3.4.产生5.32 10分,共 70分) 1.解: 2.证明:左边 3.解: (1)化简该 函数为最简与或式: 解: F 3()43A B C D E A B C D E AB AC A D E =++++--------------=?+++--------------=++-------------分 分 分 ()()33()(1)22BC D B C AD B BC D BAD CAD BC BC BC D BA CA =++++--------------=++++--------------=++++-----------------------分 分分分

填对卡诺图圈对卡诺图-----------2分 由卡诺图可得: F A B A C D A C D B C B D =++++------------------------------2分 (2)画出用两级与非门实现的最简与或式电路图: 则可得电路图如下:------------------------------------------------2分 4.T 1=0.7T=0.7f= T 1=q= 1T T 5.6. 方程: n n n Q Q K Q 0 0000=+ 1111110(n n n n Q J Q K Q Q X +=+=⊕(2分) ③输出方程:n n Q Q Y 01=-----------------------------------------(1分) ④状态表:--------------------------------------------------------------------(3分) ⑤从状态表可得:为受X 控制的可逆4进制值计数器。-----------------------------(2分) 1.解:(1)依题意得真值表如下:--------------------------3分 0102J J Q ⊕(分)

数字电子技术期末考试试卷

09级2011年数字电子技术考试试卷 开课学院:通信工程学院 一、填空题:(每空1分,共14分) 1、数制转换:,。 2、若A/D转换器(包括取样—保持电路)输入模拟电压信号的最高变化频率为10kHZ,则取样频率的下限为()。 3、正数的补码和它的()相同,负数的补码可通过将( )得到。 4、试列出3种输出端可以并联使用的门电路:()、()、()。 5、()和()是构成各种复杂数字系统的基本逻辑单元。 6、()和()是衡量A/D转换器和D/A转换器性能优劣的主要标志。 二、化简题:(每小题6分,共12分) (1)、用逻辑函数公式某法证明:

B’CD’+BC’D+ACD+A’BC’D’+A’B’CD+BC’D’+BCD=B’C+BC’+CD。 (2)、试用卡诺图法化简下式,要求画出卡诺图,并勾圈化简:。 三、由与非门构成的某表决电路如图1所示,其中ABCD表示4个人,L=1时表示决议通过。(共10分) (1)试分析电路,说明决议通过的情况有几种。 (2)分析ABCD四个人中,谁的权利最大。

图1 四、某逻辑函数的真值表如表1.2所示,试将74HC153扩展为8选1数据选择器,再实现该逻辑函数。74HC153的功能与逻辑符号分别见表1.1和图2。(共15分)

五、已知74LS138的逻辑符号见图3,逻辑功能见表2.试画出用两片74LS138组成4线-16线译码器的接线图,并说明设计原理。(共10分) 图3 表2、74LS138功能表 使能端选择输入端输出端 S1 A2 A1 A0 × 1 0 ×××× ××× 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 0 1 0 1 0 0 0 0 0 0 1 0 1 0 0 1 1 1 1 1 1 1 1 0 1 1 1 1 1 1 1 1 0 1 1 1 1 1

数字电路经典笔试题目汇总

数字电路笔试汇总 2、什么是同步逻辑和异步逻辑?(汉王笔试) 同步逻辑是时钟之间有固定的因果关系。异步逻辑是各时钟之间没有固定的因果关系。 電路設計可分類為同步電路和非同步電路設計。同步電路利用時鐘脈衝使其子系統同步運作,而非同 步電路不使用時鐘脈衝做同步,其子系統是使用特殊的“開始”和“完成”信號使之同步。由於非同步電 路具有下列優點--無時鐘歪斜問題、低電源消耗、平均效能而非最差效能、模組性、可組合和可複用性-- 因此近年來對非同步電路研究增加快速,論文發表數以倍增,而Intel Pentium 4處理器設計,也開始採用 非同步電路設計。 异步电路主要是组合逻辑电路,用于产生地址译码器、FIFO或RAM的读写控制信号脉冲,其逻 辑输出与任何时钟信号都没有关系,译码输出产生的毛刺通常是可以监控的。同步电路是由时序电路(寄存 器和各种触发器)和组合逻辑电路构成的电路,其所有操作都是在严格的时钟控制下完成的。这些时序电路 共享同一个时钟CLK,而所有的状态变化都是在时钟的上升沿(或下降沿)完成的。 3、什么是"线与"逻辑,要实现它,在硬件特性上有什么具体要求?(汉王笔试) 线与逻辑是两个输出信号相连可以实现与的功能。在硬件上,要用oc门来实现(漏极或者集电极开路),由于不用oc门可能使灌电流过大,而烧坏逻辑门,同时在输出端口应加一个上拉电阻。(线或则是下拉电阻) 4、什么是Setup 和Holdup时间?(汉王笔试) 解释setup和hold time violation,画图说明,并说明解决办法。(威盛VIA 2003.11.06 上海笔试试题) Setup/hold time 是测试芯片对输入信号和时钟信号之间的时间要求。建立时间是指触发器的时钟信 号上升沿到来以前,数据稳定不变的时间。输入信号应提前时钟上升沿(如上升沿有效)T时间到达芯片,这个T就是建立时间-Setup time.如不满足setup time,这个数据就不能被这一时钟打入触发器,只有在下 一个时钟上升沿,数据才能被打入触发器。保持时间是指触发器的时钟信号上升沿到来以后,数据稳定不 变的时间。如果hold time不够,数据同样不能被打入触发器。 建立时间(Setup Time)和保持时间(Hold time)。建立时间是指在时钟边沿前,数据信号需要保持不 变的时间。保持时间是指时钟跳变边沿后数据信号需要保持不变的时间。如果不满足建立和保持时间的话,那么DFF将不能正确地采样到数据,将会出现

数字电子技术试题及答案(题库)

《数字电子技术》试卷 姓名:__ _______ 班级:__________ 考号:___________ 成绩:____________ 1. 有一数码10010011,作为自然二进制数时,它相当于十进制数( ),作为8421BCD 码时,它相当于 十进制数( )。 2.三态门电路的输出有高电平、低电平和( )3种状态。 3.TTL 与非门多余的输入端应接( )。 4.TTL 集成JK 触发器正常工作时,其d R 和d S 端应接( )电平。 5. 已知某函数??? ??+??? ??++=D C AB D C A B F ,该函数的反函数F =( ) 。 6. 如果对键盘上108个符号进行二进制编码,则至少要( )位二进制数码。 7. 典型的TTL 与非门电路使用的电路为电源电压为( )V ,其输出高电平为( )V ,输出低电平为( )V , CMOS 电路的电源电压为( ) V 。 8.74LS138是3线—8线译码器,译码为输出低电平有效,若输入为A 2A 1A 0=110时,输出 01234567Y Y Y Y Y Y Y Y 应为( )。 9.将一个包含有32768个基本存储单元的存储电路设计16位为一个字节的ROM 。该ROM 有( )根地址线,有( )根数据读出线。 10. 两片中规模集成电路10进制计数器串联后,最大计数容量为( )位。 11. );Y 3 =( )。

12. 某计数器的输出波形如图1所示,该计数器是( )进制计数器。 13.驱动共阳极七段数码管的译码器的输出电平为( )有效。 二、单项选择题(本大题共15小题,每小题2分,共30分) (在每小题列出的四个备选项中只有一个是最符合题目要求的,请将其代码填写在题后的括号内。错选、多选或未选均无分。) 1. 函数F(A,B,C)=AB+BC+AC 的最小项表达式为( ) 。 A .F(A,B,C)=∑m (0,2,4) B. (A,B,C)=∑m (3,5,6,7) C .F(A,B,C)=∑m (0,2,3,4) D. F(A,B,C)=∑m (2,4,6,7) 2.8线—3线优先编码器的输入为I 0—I 7 ,当优先级别最高的I 7有效时,其输出012Y Y Y ??的值是( )。 A .111 B. 010 C. 000 D. 101 3.十六路数据选择器的地址输入(选择控制)端有( )个。 A .16 B.2 C.4 D.8 4. 有一个左移移位寄存器,当预先置入1011后,其串行输入固定接0,在4个移位脉冲CP 作用下,四位数据的移位过程是( )。 A. 1011--0110--1100--1000--0000 B. 1011--0101--0010--0001--0000 C. 1011--1100--1101--1110--1111 D. 1011--1010--1001--1000--0111 5.已知74LS138译码器的输入三个使能端(E 1=1, E 2A = E 2B =0)时,地址码A 2A 1A 0=011,则输出 Y 7 ~Y 0是( ) 。 A. 11111101 B. 10111111 C. 11110111 D. 11111111 6. 一只四输入端或非门,使其输出为1的输入变量取值组合有( )种。 A .15 B .8 C .7 D .1 7. 随机存取存储器具有( )功能。 A.读/写 B.无读/写 C.只读 D.只写 8.N 个触发器可以构成最大计数长度(进制数)为( )的计数器。

数字电路试卷及答案

一.选择题 1十进制数3.625的二进制数和8421BCD 码分别为(D ) A 、11.11和11.001 B 、11.101和11.101 C 、11.01和11.011000100101 D 、11.101和0011.011000100101 2、逻辑函数F1、F2、F3的卡诺图如图所示,他们之间的逻辑关系是(B ) A 、F3=F 1·F2 B 、F3=F1+F2 C 、F2=F1·F3 D 、F2=F1+F3 00 01 11 10 0 1 1 1 1 1 F1 F2 F3 3 、和TTL 电路相比,CMOS 电路最突出的有点在于(C ) A 、可靠性高 B 、抗干扰能力强 C 、功耗低 D 、速度快 4、用1K ×4的DRAM 设计4K ×8位的存储器的系统需要的芯片数和地址线的根数是(C ) A 、16片 10根 B 、8片 10根 C 、8片 12根 D 、16片 12根 5、在图2中用555定时器组成的施密特触发电路中,它的回差电压等于(A ) A 、2V B 、3V C 、 4V D 、5V 图2 图3 6、为将D 触发器转换为T 触发器,图3所示电路的虚线框内应是(D ) A 、或非门 B 、与非门 C 、异或门 D 、同或门 7、在下列逻辑部件中,不属于组合逻辑部件的是(A ) A .寄存器 B 、编码器 C 、全加器 D 、译码器 8、某10位D/A 转换器,当输入为D=010*******B 时,输出电压为1.6V 。当输入D=1000010000B 时,输出电压为(B ) A 、3.15V B 、3.30V C 、3.60V D 、都不是 二.填空题 1、逻辑函数F=A ·(B+C )·1的反函数F =_____0+?+C B A ___________ 2、四选一数据选择器,AB 为地址信号,D 0=D 3=1,D 1=C ,D 2=c ,当AB=10时,输出F=__C__ 3、将模拟信号转化为数字信号,需要采用A/D 转换器。实现A/D 转换一般要经过采样、保持、量化和编码等4个过程。 00 01 11 10 0 1 1 1 1 00 01 11 10 0 1 1 1 1 1 1

数字电子技术考试题及答案

太原科技大学 数字电子技术 课程试卷 B 卷 一、单选题(20分,每小题1分)请将本题答案全部写在下表中 1、8421BCD 码10000001转化为十六进制数是( )。 A 、15 B 、51 C 、81 D 、18 2、n 位二进制数的反码或其原码,表示的十进制数是( )。 A 、21n - B 、2n C 、1 2n - D 、2n 3、TTL 与非门多余输入端的处理是( )。 A 、接低电平 B 、任意 C 、 通过 100W 电阻接地 D 、通过 100k W 电阻接地 4、OD 非门在输入为低电平(输出端悬空)情况下,输出为( )状态。 A 、高电平 B 、低电平 C 、开路 D 、不确定 5、与()Y A B A =e e 相等的逻辑函数为( )。 A 、Y B = B 、Y A = C 、Y A B =? D 、Y A B =e 6、下列(,,)F A B C 函数的真值表中1Y =最少的为( )。 A 、Y C = B 、Y AB C = C 、Y AB C =+ D 、Y BC C =+ 7、( )是组合逻辑电路的特点。 A 、输出仅取决于该时刻的输入 B 、后级门的输出连接前级门的输入 C 、具有存储功能 D 、由触发器构成 8、半加器的两个加数为A 和B ,( )是进位输出的表达式。 A 、AB B 、A B + C 、AB D 、AB 9、欲使JK 触发器1 n Q Q +=,J 和K 取值正确的是( )。 A 、,J Q K Q == B 、J K Q == C 、0J K == D 、,1J Q K == 10、字数为128的ROM 存储器存储容量为1204位,字长为( )位,地址线为( )根。 A 、8,8 B 、8,7 C 、4,7 D 、4,8 11、一个四位二进制减法计数器初始状态为0110,经过101个脉冲有效沿触发后,它的输出是 ( )。 A 、0000 B 、0001 C 、0011 D 、0010 12、要用1K×8的RAM 扩展成8K×16的RAM ,需选用( )译码器。 A 、 3线-8线 B 、2线-4线 C 、1线-2线 D 、4线-16线

数字电子技术基础期末考试试卷及答案1[1]

填空题 1. (30.25) 10 = ( ) 2 = ( ) 16 。 2 . 逻辑函数L = + A+ B+ C +D = 1 。 3 . 三态门输出的三种状态分别为:、和。 4 . 主从型JK触发器的特性方 程= 。 5 . 用4个触发器可以存储位二进制数。 6 . 存储容量为4K×8位的RAM存储器,其地址线为 12 条、数据线为 8 条。二、选择题1.设下图中所有触发器的初始状态皆为0,找出图中触发器在时钟信号作用下,输出电压波形恒为0的是:(C )图。 2.下列几种TTL电路中, 输出端可实现线与功能的电路是( D)。 A、或非门 B、与非门 C、异或门 D、OC门 3.对CMOS与非门电路,其多余输入端正确的处理方法是(D )。 A通过大电阻接地(>1.5KΩ) B、悬空 C、通过小电阻接地(<1KΩ) D、通过电阻接V CC 4.图2所示电路为由555定时器构成的(A )。 A、施密特触发器 B、多谐振荡器 C、单稳态触发器 D、T触发器 5.请判断以下哪个电路不是时序逻辑电路(C )。 A、计数器 B、寄存器 C、译码器 D、触发器 6.下列几种A/D转换器中,转换速度最快的是(A )。 A、并行A/D转换器 B、计数型A/D转换器 C、逐次渐进型A/D转换器 B、 D、双积分A/D转换器 7.某电路的输入波形 u I 和输出波形 u O 如下图所示,则该电路为( C)。

A、施密特触发器 B、反相器 C、单稳态触发器 D、JK触发器 8.要将方波脉冲的周期扩展10倍,可采用(C )。 A、10级施密特触发器 B、10位二进制计数器 C、十进制计数器 B、D、10位D/A转换器 9、已知逻辑函数与其相等的函数为( D)。 A、 B、 C、 D、 10、一个数据选择器的地址输入端有3个时,最多可以有( C)个数据信号输出。 A、4 B、6 C、8 D、16 三、逻辑函数化简(每题5分,共10分) 1、用代数法化简为最简与或式 Y= A + 2、用卡诺图法化简为最简或与式 Y= + C +A D,约束条件:A C + A CD+AB=0 四、分析下列电路。(每题6分,共12分) 1、写出如图1所示电路的真值表及最简逻辑表达式。

数字电路试题及答案

数字电子技术基础试题(一) 一、填空题 : (每空1分,共10分) 1. (30.25) 10 = (11110.01 ) 2 = (1E.4 ) 16 。 2 . 逻辑函数L = + A+ B+ C +D = 1 。 3 . 三态门输出的三种状态分别为: 高电平 、 低电平 和 高阻态 。 4 . 主从型JK 触发器的特性方程 = 。 5 . 用4个触发器可以存储 4 位二进制数。 6 . 存储容量为4K×8位的RAM 存储器,其地址线为 条、数据线为 条。 二、选择题: (选择一个正确的答案填入括号内,每题3分,共30分 ) 1.设下图中所有触发器的初始状态皆为0,找出图中触发器在时钟信号作用下,输出电压波形恒为0的是:( C )图。 2.下列几种TTL 电路中,输出端可实现线与功能的电路是(B )。 A 、或非门 B 、与非门 C 、异或门 D 、OC 门 3.对CMOS 与非门电路,其多余输入端正确的处理方法是(D )。

A、通过大电阻接地(>1.5KΩ) B、悬空 C、通过小电阻接地(<1KΩ) B、 D、通过电阻接V CC 4.图2所示电路为由555定时器构成的(A )。 A、施密特触发器 B、多谐振荡器 C、单稳态触发器 D、T触发器 5.请判断以下哪个电路不是时序逻辑电路(C)。 A、计数器 B、寄存器 C、译码器 D、触发器 6.下列几种A/D转换器中,转换速度最快的是(A )。 A、并行A/D转换器 B、计数型A/D转换器 C、逐次渐进型A/D转换器 B、 D、双积分A/D转换器 7.某电路的输入波形 u I 和输出波形 u O 如下图所示,则该电路为(C)。 A、施密特触发器 B、反相器 C、单稳态触发器 D、JK触发器 8.要将方波脉冲的周期扩展10倍,可采用(C)。 A、10级施密特触发器 B、10位二进制计数器 C、十进制计数器 B、D、10位D/A转换器 9、已知逻辑函数与其相等的函数为(D )。 A、 B、 C、 D、 10、一个数据选择器的地址输入端有3个时,最多可以有(C )个数据信号输出。 A、4 B、6 C、8 D、16 三、逻辑函数化简(每题5分,共10分) 1、用代数法化简为最简与或式

数字电路复习题及答案

数字电路复习题及答案

数字电路复习题 (注意:以下题目是作为练习和考试题型而设,不是考题,大家必须融会贯通,举一反三。)1、逻辑电路可以分为组合逻辑电路电路和时序逻辑电路电路。 2、数字电路的基本单元电路是门电路和触发器。 3、数字电路的分析工具是逻辑代数(布尔代数)。 4、(50.375)10 = (110010.011)2 = (32.6)16 5、3F4H = (0001000000010010 )8421BCD 6、数字电路中的最基本的逻辑运算有与、或、非。 7、逻辑真值表是表示数字电路输入和输出之间逻辑关系的表格。 8、正逻辑的与门等效于负逻辑的或门。 9、表示逻辑函数的4种方法是真值表、表达式、卡诺图、逻辑电路图。 其中形式惟一的是真值表。 10、对于变量的一组取值,全体最小项之和为

1。 11、对于任意一个最小项,只有一组变量的取值 使其值为1,而在变量取其他各组值时 这个最小项的取值都是0。 12、对于变量的任一组取值,任意两个最小项之 积为0。 13、与最小项ABC相邻的最小项有C A。 AB、C B A、BC 14、组合逻辑电路的特点是输出端的状态只由同一时刻输入端的状态所决定,而与先前的状态没有关系(或输出与输入之间没有反馈延迟通路;电路中不含记忆元件)。 15、按电路的功能分,触发器可以分为RS、JK、 D、T、 T’。 16、时序电路可分为同步时序逻辑电路和异步时序逻辑电路两种工作方式。 17、描述时序电路逻辑功能的方法有逻辑方程组(含驱动方程、输出方程、 状态方程)、状态图、状态表、时序图。 18、(251)10 =(11111011)2 =(FB)16 19、全体最小项之和为 1 。 20、按照使用功能来分,半导体存储器可分为

数字电子技术期末考试题及答案(经典)

xxx~xxx学年第x学期 《数字电子技术》期末复习题 第一部分题目 一、判断题(每题2分,共30分。描述正确的在题号前的括号中打“√”,错误的打“×”)【】1、二进制有0 ~ 9十个数码,进位关系为逢十进一。 【】2、(325)8 >(225)10 【】3、十进制数整数转换为二进制数的方法是采用“除2取余法”。 【】4、在二进制与十六进制的转换中,有下列关系:(100111010001)2=(9D1)16 【】5、8421 BCD码是唯一能表示十进制数的编码。 【】6、十进制数85的8421 BCD码是101101。 【】7、格雷码为无权码,8421 BCD为有权码。 【】8、数字电路中用“1”和“0”分别表示两种状态,二者无大小之分。 【】9、逻辑变量的取值,1比0大。 【】10、在逻辑代数中,逻辑变量和函数均只有0和1两个取值,且不表示数量的大小。【】11、逻辑运算1+1=1 【】12、逻辑运算A+1+0=A 【】13、因为逻辑表达式A+B+AB=A+B成立,所以AB=0成立。 【】14、在时间和幅度上均不连续的信号是数字信号,所以语音信号是数字信号。 【】15、逻辑函数的运算次序为:先算括号内,后算括号外;先求与,再求或,最后求非。【】16、AB A C BC AB A C ++=+ 【】17、逻辑函数表达式的化简结果是唯一的。 【】18、逻辑真值表、逻辑表达式、逻辑图均是逻辑关系的描述方法。 【】19、n个变量组成的最小项总数是2n个。 【】20、逻辑函数的化简方法主要有代数化简法和卡诺图化简法。 【】21、逻辑函数化简过程中的无关项一律按取值为0处理。 【】22、数字电路中晶体管工作在开关状态,即不是工作在饱和区,就是工作在截止区。【】23、TTL或非门的多余输入端可以接高电平。 【】24、某一门电路有三个输入端A、B、C,当输入A、B、C不全为“1”时,输出Y为“0”,输入A、B、C全为高电平“1”时,输出Y为“1”,此门电路是或门电路。【】25、将三输入与非门中的两个输入端都接高电平,就可以实现非门功能。 【】26、基本的逻辑关系有与、或、非三种,其实现单元电路分别为与非门和或非门两种。【】27、CMOS门电路的输入电流大于TTL门电路的输入电流。 【】28、组合逻辑电路的基本组成单元是门电路。 【】29、组合电路没有记忆功能。 【】30、组合电路是一种具有记忆功能的逻辑电路。

数字电路试题及答案

数字电路试题 一、单项选择题 1、以下代码中为无权码的为 ( ) A . 8421BCD 码 B . 5421BCD 码 C . 余三码 D .2421BCD 码 2、图示逻辑电路的逻辑式为 ( ) A .F=C B A ++ B .F= C B A ++ C .F=C B A D .F=ABC 3、下列关于异或运算的式子中,不正确的是 ( ) A .0A A =⊕ B . 1A A =⊕ C .A 0A =⊕ D .A 1A =⊕ 4、一个n 变量的逻辑函数应该有 个最小项 ( ) A .n B .n 2 C .n 2 D .2 n 5、若编码器中有50个编码对象,则要求输出二进制代码位数为 位。 ( ) A.5 B.6 C.10 D.50 6、在下列逻辑电路中,不是组合逻辑电路的是 。 ( ) A.译码器 B.编码器 C.全加器 D.寄存器 7、欲使JK 触发器按01 =+n Q 工作,可使JK 触发器的输入端 。 ( ) A.1==K J B.Q J =,Q K = C.Q J =,Q K = D.0=J ,1=K 8、同步时序电路和异步时序电路比较,其差异在于两者 。 ( ) A.没有触发器 B.是否有统一的时钟脉冲控制 C.没有稳定状态 D.输出只与内部状态有关 9、8位移位寄存器,串行输入时经 个脉冲后,8位数码全部移入寄存器中。 ( ) A.1 B.2 C.4 D.8 10、555定时器D R 端不用时,应当 。 ( ) A.接高电平 B.接低电平 C.通过F μ01.0的电容接地 D.通过小于Ω500的电阻接地 二、填空题 1、当传送十进制数5时,在8421奇校验码的校验位上值应为 。 2、(35.625)10=( )2=( )8=( )16 3、用反演律求函数D A D C ABC F ++=的反函数(不用化简)=F 。 4、消除竟争冒险的方法有 、 、 等。 5、触发器有 个稳态,存储8位二进制信息要 个触发器。 1 & A B C F 11

数字电子技术试题库

数 字 电 子 技 术 2011年7月23日星期六

1 1 : 对于JK触发器,输入J=0,K=1,CLK脉冲作用后,触发器的次态应为()。 (2分) A:0 B:1 C:Q' D:不确定 您选择的答案: 正确答案: A 知识点:JK触发器的特性为:J=1, K=1时,Q状态为翻转,即Q= Q’ -------------------------------------------------------------------- 2 : 已知Y=A+AB′+A′B,下列结果中正确的是() (2分) A:Y=A B:Y=B C:Y=A+B D:Y=A′+B′ 您选择的答案: 正确答案: C 知识点:利用公式A+AB′=A和A+A′B=A+B进行化简 -------------------------------------------------------------------- 3 : (1001111)2的等值十进制数是() (2分) A:97 B:15.14 C:83 D:79 您选择的答案: 正确答案: D 知识点:把二进制数转换为等值的十进制数,只需将二进制数按多项式展开,然后把所有各项的数值按十进制数相加。 -------------------------------------------------------------------- 4 : 图中为CMOS门电路,其输出为()状态 (2分) A:高电平 B:低电平 C:高阻态 D:不确定 您选择的答案: 正确答案: A 知识点:对于CMOS门电路,输入端接负载时,输入电平不变 -------------------------------------------------------------------- 5 : 四选一数据选择器的数据输出Y与数据输入Di和地址码Ai之间的逻辑表达式为Y=() (2分) A:A1′A0′D0+ A1′A0D1+ A1A0′D2+ A1A0D3 B:A1′A0′D0

数字电子技术基础试题及答案

D C B A D C A B ++《数字电子技术》试卷 姓名:__ _______ 班级:__________ 考号:___________ 成绩:____________ 1.?有一数码10010011,作为自然二进制数时,它相当于十进制数(147),作为8421BCD 码时,它相当于十进制数(93 )。 2.三态门电路的输出有高电平、低电平和(高阻)3种状态。 3.TTL 与非门多余的输入端应接(高电平或悬空)。 4.TTL 集成JK 触发器正常工作时,其d R 和d S 端应接(高)电平。 5. 已知某函数?? ? ??+??? ??++=D C AB D C A B F ,该函数的反函数F = ( )。 6. 如果对键盘上108个符号进行二进制编码,则至少要( 7)位二进制数码。 7. 典型的TTL 与非门电路使用的电路为电源电压为(5 )V ,其输出高电平为(3.6)V ,输出低电平为(0.35)V , CMOS 电路的电源电压为( 3--18) V 。 8.74LS138是3线—8线译码器,译码为输出低电平有效,若输入为A 2A 1A 0=110时,输出 01234567Y Y Y Y Y Y Y Y 应为( )。 9.将一个包含有32768个基本存储单元的存储电路设计16位为一个字节的ROM 。该ROM 有( 11)根地址线,有(16)根数据读出线。 10. 两片中规模集成电路10进制计数器串联后,最大计数容量为( 100)位。 11. =(AB )。 12. 13 二、分) 1.?函数 A .F(A,B,C)=∑m (0,2,4) B. (A,B,C)=∑m (3,5,6,7) C .F(A,B,C)=∑m (0,2,3,4) D. F(A,B,C)=∑m (2,4,6,7) 2.8线—3线优先编码器的输入为I 0—I 7 ,当优先级别最高的I 7有效时,其输出012Y Y Y ??的值是( C )。 A .111 B. 010 C. 000 D. 101 3.十六路数据选择器的地址输入(选择控制)端有( C )个。 A .16 B.2 C.4 D.8

数字电路期末考试试卷及答案

2010-2011学年度第一学期 09级电子技术基础(数字部分)期末考试试卷 一、填空题(本大题共15小题,每空1分,总计30分) 1、 (127)10= ( )2= ( ) 8421BCD。 2、5个变量可构成个最小项,全体最小项之和为。 3、基本逻辑运算有、、 3种。 4、描述逻辑函数各个变量取值组合和函数值对应关系的表格叫。 5、3线—8线译码器74LS138处于译码状态时,当输入A2A1A0=011时,输出= 。 6、对于T触发器,当T= 时,触发器处于保持状态。 7、某计数器的输出波形如图1所示,该计数器是进制计数器。 CP Q 1 Q 2 Q (图1) 8、触发器有个稳定状态,用来寄存和。这种无外加触发信号时能维持原态不变的功能称功能。在外加触发信号作用下,触发器状态。 9、要完成二进制代码转换为十进制数,应选择的电路是:。 10、所谓计数器,是指能和输入脉冲个数的逻辑部件,它是利用触发器的功能来实现的。 11、对于JK触发器,若J=K,则可完成触发器的逻辑功能;若K= J,则可完成触发器的逻辑功能。 12、加法器是用来完成二进制数的加法运算的,它分为和。 13、用表示某些特定含义的代码就称为编码;而把的过程称为,它是编码的逆过程。 14、一个十进制加法计数器需要由个JK触发器组成。 15、3位二进制计数器累计脉冲个数为;4位二进制计数器累计脉冲个数为。 二、单项选择题(本大题共10小题,每小题2分,总计20分) 1、要将方波脉冲的周期扩展16倍,可采用:。 A、16进制计数器 B、十位二进制计数器 2、能实现串行数据变换成并行数据的是:。 A、编码器 B、译码器 C、移位寄存器 D、二进制计数器3、构成4位寄存器应选用个触发器。 A、2 B、4 C、6 D、8 4、对于由3个D触发器组成的单向移位寄存器,3位串行输入数码全部输入寄存器并全部串行输出,则所需要的移位脉冲的数量为。 A、12 B、6 C、3 D、2 5、具有“置0”、“置1”、“保持原状”、“状态翻新”,被称为全功能的触发器的是。 A、D触发器 B、T触发器 C、JK触发器 D、同步RS触发器 6、在触发脉冲作用下,每来一个触发脉冲,触发器的状态就翻转一次的触发器是:。 A、D触发器 B、T,触发器 C、JK触发器 D、同步RS触发器 7、对于基本RS触发器,若S=R=0,则。 A、Q=Q=0 B、Q=Q=1 C、Q=1,Q=0 D、Q=1,Q=0 8、存储8位二进制信息要个触发器。 A、2 B、4 C、6 D、8 9、对于一个共阳极型数码管,若译码器输出送数码管驱动的abcdefg=0000110,则显示的字符为。 A、2 B、3 C、E D、F 10、在下列逻辑电路中,不是组合逻辑电路的是:。 A、译码器 B、运算放大器 C、全加器 D、编码器 三、问答及作图题(本大题共4小题,每小题8分,共32分) 1、触发器的描述方法有哪些?请列举其中四种。 2、异步计数器在结构上有何特点?同步计数器在结构上有何特点? 3、两个D触发器构成的电路如图二所示,设初态Q0=Q1=1,试画出在5个脉冲作用下Q0、 Q、Q1 、 1 Q端的输出波形。 CP (图二) Q Q Q1 CP 1 Q

数字电子技术-复习选择填空题汇总(精简)

一、 选择题: 1、在下列逻辑电路中,不是组合逻辑电路的是( D ) A 、译码器 B 、编码器 C 、全加器 D 、寄存器 2、下列触发器中没有约束条件的是( D ) A 、基本RS 触发器 B 、主从RS 触发器 C 、同步RS 触发器 D 、边沿D 触发器 3、555定时器不可以组成 D 。 A.多谐振荡器 B.单稳态触发器 C.施密特触发器 D.J K 触发器 4、编码器(A )优先编码功能,因而( C )多个输入端同时为1。 A 、有 B 、无 C 、允许 D 、不允许 5、( D )触发器可以构成移位寄存器。 A 、基本RS 触发器 B 、主从RS 触发器 C 、同步RS 触发器 D 、边沿D 触发器 6、某触发器的状态转换图如图所示,该触发器应是( C ) A. J-K 触发器 B. R-S 触发器 C. D 触发器 D. T 触发器 7、十进制数6在8421BCD 码中表示为-------------------------------------------------( B ) A.0101 B.0110 C. 0111 D. 1000 8、在图所示电路中,使__ A Y 的电路是---------------------------------------------( A ) A. ○1 B. ○2 C. ○3 D. ○4 9、接通电源电压就能输出矩形脉冲的电路是------------------------------------------( D ) A. 单稳态触发器 B. 施密特触发器 C. D 触发器 D. 多谐振荡器 10、多谐振荡器有-------------------------------------------------------------------------------( C ) A. 两个稳态 B. 一个稳态 C. 没有稳态 D. 不能确定 11、已知输入A 、B 和输出Y 的波形如下图所示,则对应的逻辑门电路是-------( D ) A. 与门 B. 与非门 C. 或非门 D. 异或门 12、下列电路中属于时序逻辑电路的是------------------------------------------------------( B ) A. 编码器 B. 计数器 C. 译码器 D. 数据选择器 13、在某些情况下,使组合逻辑电路产生了竞争与冒险,这是由于信号的---------( A ) A. 延迟 B. 超前 C. 突变 D. 放大

数字电子技术试卷试题答案汇总(完整版)

数字电子技术试卷试题答案汇总(完整版)

数字电子技术基础试卷试题答案汇总 一、 填空题(每空1分,共20分) 1、逻辑代数中3种基本运算是 , , 。 2、逻辑代数中三个基本运算规 则 , , 。 3、逻辑函数的化简有 , 两种方法。 4、A+B+C= 。 5、TTL 与非门的u I ≤U OFF 时,与非门 ,输出 ,u I ≥U ON 时,与 非门 ,输出 。 6、组合逻辑电路没有 功能。 7、竞争冒险的判断方法 , 。 8、触发器它有 稳态。主从RS 触发器的特性方 程 , 主从JK 触发器的特性方程 ,D 触发器的特性方 程 。 二、 选择题(每题1分,共10分) 1、相同为“0”不同为“1”它的逻辑关系是 ( ) A 、或逻辑 B 、与逻辑 C 、异或逻辑 2、Y (A ,B ,C ,)=∑m (0,1,2,3)逻辑函数的化简式 ( ) A 、Y=AB+BC+ABC B 、Y=A+B C 、Y=A 3、 A 、Y=A B B 、Y 处于悬浮状态 C 、Y=B A + 4、下列图中的逻辑关系正确的是 ( ) A.Y=B A + B.Y=B A + C.Y=AB 5、下列说法正确的是 ( ) A 、主从JK 触发器没有空翻现象 B 、JK 之间有约束 C 、主从JK 触发器的特性方程是CP 上升沿有效。 6、下列说法正确的是 ( ) A 、同步触发器没有空翻现象 B 、同步触发器能用于组成计数器、移位寄存器。 C 、同步触发器不能用于组成计数器、移位寄存器。 7、下列说法是正确的是 ( ) A 、异步计数器的计数脉冲只加到部分触发器上 B 、异步计数器的计数脉冲 同时加到所有触发器上 C 、异步计数器不需要计数脉冲的控制 8、下列说法是正确的是 ( )

数字电路试题及答案后面附带1doc

数字电路模拟题(答案附后) 注:此为上次考试模拟卷和答案,与本次模拟卷题目相同,但顺序不同,以此卷做参考。 一、 1、数制转换(其中B表示二进制,D表示十进制,H表示十六进制) (1)(10110)B=( )D (2)(0.1011)B=( )D (3)(3B)H=( )D (4) (0.35) H=()D (5) (0.34)D=()H=( )B (6) (1011.101) B=( )D (7) (3F) H =( )D (8) (0.8125) D=( )B (9) (173) D=( )H (10) (0101.0110)B=( )D (11) (8FA.C6)=( )B (12) (0.35 )H = ( )D (13) (73)D =( )H 2、利用逻辑代数的基本公式和常用公式化减下列各式 3、指出下列存储系统各具有多少个存储单元,至少需要几根地址线和数据线? 4、设存储器的起始地址为全0,试指出下列存储系统的最高地址为多少? 二、如图所示为由NMOS管构成的逻辑电路。试写出其逻辑表达式并说明它是什么逻辑电路? 三、双互补对与反相器引出端如图所示,试连接成3输入端或非门。

四、试分析如图所示逻辑电路的功能,写出逻辑表达式和真值表。 1、 2、 3、 五、1、试用三个3输入端与门,一个或门和非门实现语句“A>B”,A和B均为两位二进制数。 2、试用三个3输入端与门,一个或门和数个非门实现语句“A>B”,A和B均为两位二进制数。 3、分别写出同步RS、T触发器的特性表和特性方程。 4、用2输入与非门和反相器设计一个三位的奇偶校验器,即当3位数中有奇数个1时输出为1,否则输出为0。 六、电路如图所示,写出驱动方程、状态方程、列出状态表、画出状态图,并确定逻辑功能。 1、

数字电路期末试卷

2015-2016年第一学年度 汕尾市职业技术学校电子线路期末考试(开卷) 班级___________ 姓名__________ 分数__________ 一选择题(本大题共十道小题,每小题2分) 1、模拟电路中晶体管大多工作于( )。 A.放大状态 B.截止状态 C.击穿状态 D.饱和状态 2、当逻辑函数有n个变量时,共有( )个变量取值组合? A. n B. 2n C. n2 D. 2n 3、十进制数25用8421BCD码表示为( )。 A、10 101 B、0010 0101 C、100101 D、100101 4、下列逻辑式中,正确的逻辑公式是( )。 A.A+B=A B B. A+B=A B + C. A+B=AB D. A+= 00 5、二输入端的与非门,其输入端为A、B,输出端为Y,则其表达式Y= ()。 A、AB B、AB C、B A+D、A+B 6、逻辑式A+BC=( )。 A .A+ B B.A+ C C.(A+B)(A+C) D.B+C 7、辑电路如图示,其逻辑式为( )。 A.F=A+BC B、F=A B C ?+ C、F=A B C ++D、F=A·BC

1 1& ≥1 1F A B C 8、一个T触发器,在T=0时,加上时钟脉冲,则触发器()。 A、保持原态 B、置0 C、置1 D、翻转 9、欲对全班43个学生以二进制代码编码表示,最少需要二进制码的位数是 ()。 A、5 B、6 C、8 D、43 10、下列电路中,不属于组合逻辑电路的是( ) A.译码器B.全加器 C.寄存器 D.编码器 二填空题(本大题共十小题每小题2分) 1、数字信号的特点是在上和上都是断续变化的,其高电平和低电平 常用和来表示。 2、OC门的输出端可并联使用,实现________功能;三态门可用来实现 ______________。 3、(35)10 =()2 = ( )8421BCD 4、基本逻辑运算有________、________、________3种。 5、在RS、JK、T和D触发器中,_____触发器的逻辑功能最多。 6、组合电路由________________构成,它的输出只取决于_ ________________ 而与原状态无关。

数字电子技术试卷及答案五套

数字电子技术试卷 一、选择题: A组: 1.如果采用偶校验方式,下列接收端收到的校验码中,( A )是不正确的 A、00100 B、10100 C、11011 D、11110 2、某一逻辑函数真值表确定后,下面描述该函数功能的方法中,具有唯一性的是(B)A、逻辑函数的最简与或式B、逻辑函数的最小项之和 C、逻辑函数的最简或与式 D、逻辑函数的最大项之和 3、在下列逻辑电路中,不是组合逻辑电路的是(D) A、译码器 B、编码器 C、全加器 D、寄存器 4、下列触发器中没有约束条件的是(D) A、基本RS触发器 B、主从RS触发器 C、同步RS触发器 D、边沿D触发器 5、555定时器不可以组成D。 A.多谐振荡器 B.单稳态触发器 C.施密特触发器 D.J K触发器 6、编码器(A)优先编码功能,因而(C)多个输入端同时为1。 A、有 B、无 C、允许 D、不允许 7、(D)触发器可以构成移位寄存器。 A、基本RS触发器 B、主从RS触发器 C、同步RS触发器 D、边沿D触发器 8、速度最快的A/D转换器是(A)电路 A、并行比较型 B、串行比较型 C、并-串行比较型 D、逐次比较型 9、某触发器的状态转换图如图所示,该触发器应是( C ) A. J-K触发器 B. R-S触发器 C. D触发器 D. T触发器 10.(电子专业作)对于VHDL以下几种说法 错误的是(A ) A VHDL程序中是区分大小写的。 B 一个完整的VHDL程序总是由库说明部分、实体和结构体等三部分构成 C VHDL程序中的实体部分是对元件和外部电路之间的接口进行的描述,可以看成是定义元件的引脚 D 结构体是描述元件内部的结构和逻辑功能 B组: 1、微型计算机和数字电子设备中最常采用的数制是--------------------------------( A ) A.二进制 B.八进制 C. 十进制 D.十六进制 2、十进制数6在8421BCD码中表示为-------------------------------------------------( B ) A.0101 B.0110 C. 0111 D. 1000

相关文档